SlideShare ist ein Scribd-Unternehmen logo
1 von 6
Downloaden Sie, um offline zu lesen
T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and
                  Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                       Vol. 2, Issue 4, July-August 2012, pp.1621-1626

      Low Power High Performance Baud Rate Generator using
              MTCMOS Voltage Interface Circuits
                          T.Esther Rani*, Dr.Rameshwar Rao**
*(Department of Electronics and Communications Engineering, CVR College of Engineering, JNT University,
                                              Hyderabad-85)
                            ** (Vice Chancellor, JNT University, Hyderabad-85)


 ABSTRACT
         Multiple supply voltages (multi-VDD) is       implemented. Also, these level converters are used
an effective technique for reducing the power          in Baud Rate generator. The Baud Rate Generator
consumption without compromising speed in an           using Multi-VTH level converter reduces power
integrated circuit(IC). Different blocks of the        when compared with Baud Rate Generator with
design may be operated with different supply           Feedback level converters. Section II reviews some
voltages. To transfer signals among the circuits       basic concepts such as sources of power dissipation
operating at different voltage levels different        in digital CMOS circuits and section III describes
level conversion circuits are required. Two types      Low Power Multi-VDD techniques for reducing the
of Voltage Interface Circuits, feedback based          power dissipation. Section IV introduces the level
and Multi- VTH are implemented and used in the         converters. Section V introduces the Design and
implementation of Baud Rate generator. The             Implementation of Baud Rate Generator. Baud
need for level conversion has delay and energy         Rate Generator is the component that allows
penalties. In this paper, the Voltage Interface        varying signaling rate and communicates with
circuits are individually optimized for minimum        other devices. Section VI discusses the results of all
propagation delay. Baud Rate generator is              the level converters and baud rate generator
designed and implemented using 90nm TSMC               implemented at transistor level using cadence
CMOS technology.                                       Virtuoso tool in 90nm CMOS technology. Section
                                                       VII presents the conclusion and future scope of the
Keywords – Feedback based, Integrated circuits,        work.
Multi-Vth, Multi-VDD, Voltage interface circuits
                                                       II. SOURCES OF POWER DISSIPATION
I. INTRODUCTION                                                   The major sources of power dissipation in
     Power is considered as the most relevant          CMOS circuit can be summarized as follows.
design objective for many classes of CMOS              i. Dynamic Power Dissipation
circuits, and has replaced more conventional                      Current flows from VDD to GND when
metrics such as area, delay, or testability.           logic transition occurs. Dynamic power is due to
Technology scaling has naturally helped low-power      the      dissipation    during     the     capacitances
design. In fact, scaling implies lower supply          charge/discharge process. CMOS circuits dissipate
voltages. Since dynamic power is proportional to       power by charging the various load capacitances
the square of the supply voltage and scaling           whenever they are switched. In one complete cycle
provides an effective way to reduce power              of CMOS logic, current flows from VDD to the load
consumption. Unfortunately, supply voltage scaling     capacitance to charge it and then flows from the
adversely affects performance of circuit.              charged load capacitance to ground during
          The feedback based and Multi-VTH based       discharge:
voltage interface circuits (level converters) are                            P = CV2f-------------(1)
implemented here for minimum delay and low                        Since most gates do not switch at every
power. The standard level converters based on          clock cycle, they are often accompanied by a
feedback has one or more feed back paths because       factor α, called the activity factor. Now, the
of which delay is more. The new circuits employ        dynamic power dissipation may be re-written as:
multi-VTH transistors in order to suppress the dc                       P = αCV2f -----------(2)
current paths in CMOS gates driven by low-swing         ii. Static Power Dissipation
input signals. These level converters (Voltage                    Static power dissipation occurs when
interface circuits) are compared with the feedback-    current flows from VDD to GND regardless of logic
based designed circuits for different values of the    transition. It consists of three components, (a) Sub-
lower supply voltages in a multi-VDD system.           threshold condition when the transistors are off, (b)
     In this paper, the Feedback and Multi-VTH         Tunneling current through gate oxide and (c)
based voltage level converters are designed and        Leakage current through reverse biased diodes.


                                                                                            1621 | P a g e
T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and
                    Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                         Vol. 2, Issue 4, July-August 2012, pp.1621-1626
iii. Short circuit Power Dissipation                    a low voltage driver and a full voltage swing
           Since there is a finite rise/fall time for   receiver [5,11,12].
both PMOS and NMOS, during transition from off          A. Feedback-Based Level Converters
to on, both the transistors will be on for a small                The operation of p-type transistors in the
period of time in which current will find a path        pull-up network is controlled by an internal
directly from VDD to ground, hence creating a short     feedback mechanism. This is not directly operated
circuit current. Short circuit power dissipation        by the low voltage swing input signal. Therefore it
increases with rise and fall time of the transistors    avoids the formation of static dc current paths
[4].                                                    within the circuit. Due to the slow response of the
                                                        internal feedback circuitry, these traditional level
III. MULTI-VDD TECHNIQUES                               converters suffer from high short-circuit power and
          Even though many low power techniques         long propagation delay [7].
are existing to reduce dynamic power, Multi-VDD is                The n-type transistors in the pull-down
efficient. Dynamic power is directly proportional to    network are driven by low voltage swing signals
power supply. Hence naturally reducing power            unlike the p-type transistors in pull-up network that
significantly improves the power performance. At        receive higher gate overdrive voltages from the
the same time gate delay increases due to the           full-voltage swing feedback paths. Particularly, at
decreased threshold voltage. High voltage can be        very low input voltages, the widths of the
applied to the timing critical path and rest of the     transistors that are directly driven by the low-swing
chip runs in lower voltage. Overall system              signals need to be significantly increased to balance
performance is maintained. Different blocks having      the strength of the pull-up and the pull-down
different voltage supplies can be integrated in SoC.    networks. This causes further degradation in the
This increases power planning complexity in terms       speed and the power efficiency of the level
of laying down the power rails and power grid           converters when utilized with very low input
structure[1]. Level shifters are necessary to           voltages. Only two circuits are considered from
interface between different blocks. Multi voltage       standard feedback based level converters [12].
design strategies can be broadly classified as:         i. Standard Feedback-Based Level Converter, LC1
Static Voltage Scaling (SVS): Different voltages                  The standard feedback-based level
are applied to different blocks or subsystems, but      converter , LC1 is shown in Figure1. The circuit
they are fixed voltage sources in the SoC design.       has two feedback paths and delay is more with this.
Multi-level Voltage Scaling (MVS): The block or
subsystem of ASIC or SoC design is switched
between two or more voltage levels. But for
different operating modes limited numbers of
discrete voltage levels are supported[3].
 Dynamic Voltage and Frequency Scaling
(DVFS): Voltage as well as frequency is
dynamically varied as per the different working
modes of the design so as to achieve power
efficiency. When high speed of operation is
required voltage is increased to attain higher speed
of operation with the penalty of increased power
consumption[6].
Adaptive voltage Scaling (AVS): Here voltage is
controlled using a control loop. This is an extension         Figure1. Standard level converter, LC1
of DVFS[9,10].
                                                         ii. Feedback based Level Converter, LC2
IV.   LEVEL CONVERTERS                                            To improve the speed of operation while
         In this section various level conversion       compared to level converter LC1, level converter
techniques are considered. The issues related to the    LC2 is designed. With only one feedback path,
standard feedback-based level converters and the        delay is reduced. The circuit diagram level
multi-VTH level converters in CMOS technology           converter LC2 is shown in Figure2.
are discussed here. When a low swing signal
directly drives a gate that is connected to a higher
supply voltage, the p-type transistors in the pull-up
network of the receiver cannot be fully turned off.
Static dc current is produced by the receiver driven
by low voltage swing signal. To reduce this dc
current, voltage interface circuits are used between

                                                                                            1622 | P a g e
T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and
                  Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                       Vol. 2, Issue 4, July-August 2012, pp.1621-1626




                                                                    Figure4. Level converter LC4
                                                        iii. Multi-Vth Based Level Converter LC5
                                                       Another circuit of Multi-Vth Based level converter,
          Figure2. Level converter, LC2                LC is shown in Figure 5. This circuit is best among
                                                       all the above mentioned in terms of power and
          As LC1, LC2 also consumes significant        delay.
short-circuit power during both low-to-high and
high-to-low transitions of the output. Furthermore,
when VDDL is reduced, the size of M2 must be
increased      significantly     for     maintaining
functionality. The load seen by the driver circuit
therefore increases at lower VDDL[11].
B. Multi-Vth Based Level Converters
          The feedback based level converters
depend on the feedback, but the Multi-Vth based
level converters employ a multi-Vth CMOS
technology to eliminate the static dc current. The
high threshold voltage pull-up network transistors
                                                                 Figure5. Level converter LC5.
in this type of level converters are directly driven
by the low-swing signals and they do not produce
static dc current problem[8,12].                       V. BAUD RATE GENERATOR
i. Multi-Vth Based Level Converter, LC3                          Baud rate generator is the component
          The circuit diagram of level converter       which allows varying the signaling rate and
LC3 is shown in figure3. This circuit also takes low   communicates with other devices. The baud rate
supply voltage as input and converts to a higher       generator is an oscillator. It provides a frequency
voltage level.                                         signal which is used to control the timing on the
                                                       serial interface. Since different line speeds need a
                                                       different timing, the baud rate generation needs to
                                                       be flexible.
                                                                 There are two general ways to achieve a
                                                       flexible baud rate generation. Either the baud rate
                                                       generator itself is programmable and can produce
                                                       the necessary different frequencies, or the UART
                                                       has a programmable divider or multiplier, which
                                                       converts the frequency from the baud rate generator
                                                       into the required frequencies.
                                                                 Depending on the actual UART, the baud
                                                       rate generator either needs to be some external
                                                       component, or it is directly integrated into the
                                                       UART chip. From the outside, the programmatic
         Figure 3. Level converter LC3                 change of the baud rate generation is the means to
                                                       control the speed of the serial connection. Often
ii. Multi-Vth Based Level Converter LC4                when programming the baud rate one doesn't
          The circuit of Multi-Vth Based       level   provide the desired baud rate in 'clear text', but
converter LC4 is shown in Figure 4.                    needs to provide some divider or factor. Providing
                                                       the right divider or factor requires knowing the
                                                       basic frequency of the used baud rate generator.



                                                                                          1623 | P a g e
T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and
                  Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                       Vol. 2, Issue 4, July-August 2012, pp.1621-1626
         The Verilog code for the Baud rate             The schematic diagram of baud rate generator
generator is developed and simulated using the         (BRG) is shown in figure8.
Xilinx ISE simulator and also synthesized using the
XSTSynthesizer. The gate level schematic of the
baud rate generator is considered as the logic block
diagram. The Logic block diagram of baud rate
generator is shown in figure6. It consists of four
different block are 4-bit count, 8-bit count, 3-bit
count and 8x1Multiplexer.




                                                       Figure8. Schematic diagram of baud rate generator.
                                                       The Test Bench of baud rate generator is shown in
                                                       figure9.


    Figure6. Logic Block diagram of Baud rate
                    generator
VI. RESULTS
         All level converters are designed using
Cadence Virtuoso Schematic Editor. Simulation
waveform for standard level converter is shown in
figure7. Table1 shows the comparison of power
and delay values of the different level converters.




                                                           Figure9. Test Bench of baud rate generator.

                                                                The Simulation waveform of baud rate
                                                       generator is shown in figure10 and figure 11 shows
                                                       the out put waveforms of the baud rate generator
                                                       with level converters. Figure 12 and figure 13
                                                       shows the power of the baud rate generator with
                                                       and without level converters.
 Figure 7. Simulation waveform of standard level
                     converter
   TABLE1 :Comparison of Power and Delay
        calculations of the level converters
                   Level           Power     Delay
               Converter            (µw)      (ns)
                  LC1              11.26     1.081

                  LC2              3.81       1.069

                  LC3             3.341       1.034

                  LC4             2.137       1.011
                                                          Figure10. Simulation waveform of baud rate
                                                                          generator.
                  LC5             1.897       1.010



                                                                                          1624 | P a g e
T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and
                  Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                       Vol. 2, Issue 4, July-August 2012, pp.1621-1626




                                                    TABLE II: Comparison of Power for baud rate
                                                      generator with different level converters
                                                          Baud rate             Power (µw)
                                                       Generator with
                                                       Level Converter
                                                             LC1                   322.3

                                                               LC2                 136.6

                                                               LC3                 301.4

                                                               LC4                 133.6

Figure 11.Simulation waveform of BRG with level                LC5                 122.3
                    converter

                                                  VII. CONCLUSION
                                                           In the standard feedback based level
                                                  converters the low voltage level is converted to
                                                  high voltage level based on feedback. But the
                                                  Multi-Vth circuits employ multi-VTH transistors in
                                                  order to suppress the dc current paths in CMOS
                                                  gates driven by low-swing input signals. These
                                                  level converters are compared with the feedback-
                                                  based designed circuits for different values of the
                                                  lower supply voltages in a multi-VDD system. When
                                                  the circuits are individually optimized for
                                                  minimum power consumption in a 90nm
                                                  technology, the multi-VTH level converters offer
.
                                                  significant power savings as compared to the
      Figure 12. Power waveform of BRG
                                                  feedback-based circuits. Alternatively, when the
                                                  circuits are individually optimized for minimum
                                                  propagation delay, speed is enhanced in the multi-
                                                  VTH circuits. Significant power savings are
                                                  observed when Multi-Vth level converter LC5 is
                                                  used as interfacing circuit.

                                                  References
                                                    [1]   K. Usami and M. Horowitz, “Clustered
                                                          voltage scaling technique for low-power
                                                          design,” in Proc. Int. Symp. Low-Power
                                                          Electronics Design, 1995, pp. 3–8.
                                                    [2]   C. Chen, A. Srivastava, and M.
                                                          Sarrafzadeh, “On gate level power
                                                          optimization using dual-supply voltages,”
    Figure 13 Power output of BRG with level              IEEE Trans. VLSI Syst., vol. 9, pp. 616–
                   converter                              629, Oct. 2001.
                                                    [3]   R. Puri, L. Stok, J. Cohn, D. Kung, D.
                                                          Pan, D. Sylvester, A. Srivastava,and S.
                                                          Kulkarni, “Pushing ASIC performance in



                                                                                     1625 | P a g e
T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and
                Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                     Vol. 2, Issue 4, July-August 2012, pp.1621-1626
      a power envelope,” in Proc. Design
      Automation Conf., 2003, pp. 788–793.
 [4] V.Kursun and E. G. Friedman, “Multi-
      Voltage CMOS Circuit Design”, New
      York: Wiley, 2006.
 [5] A. Srivastava and D. Sylvester,
      “Minimizing total power by simultaneous
      Vdd/Vth Assignment,” in Proc. IEEE Des.
      Autom. Conf., Jan.2003, pp. 400–403
 [6] S. H. Kulkarni, A. N. Srivastava, and D.
      Sylvester, “A new algorithm for improved
      VDD assignment in low power dual VDD
      systems,” in Proc. IEEE Int. Symp. Low
      Power Electron. Des., Aug. 2004, pp.
      200–205.
 [7] F. Ishihara, F. Sheikh, and B. Nikolic´,
      “Level      conversion   for    dualsupply
      systems,” IEEE Trans. Very Large Scale
      Integr. (VLSI) Syst., vol. 12, no. 2, pp.
      185–195, Feb. 2004.
 [8] V. Kursun, R. M. Secareanu, and E. G.
      Friedman, “CMOS voltage interface
      circuit for low power systems,” in Proc.
      IEEE Int. Symp. Circuits Syst., May 2002,
      vol. 3, pp. 667–670.
 [9] M. Takahashi et al., “A 60-mW MPEG4
      video codec using clustered voltage
      scaling with variable supply-voltage
      scheme,” IEEE J. Solid- State Circuits,
      vol. 33, no. 11, pp. 1772–1780, Nov.
      1998.
 [10] M. Hamada et al., “A top-down lowpower
      design technique using clustered voltage
      scaling with variable supply-voltage
      scheme,” in Proc. IEEE Custom Integr.
      Circuits Conf., May 1998, pp. 495–498.
[11] S. H. Kulkarni and D. Sylvester, “High
      performance level conversion for dual
      VDD design,” IEEE Trans. Very Large
      Scale Integr. (VLSI) Syst., vol. 12, no. 9,
      pp. 926–936, Sep. 2004.
[12] Sherif A. Tawfik and Volkan Kursun, ,
      “Low Power and High speed Multi
      threshold voltage interface circuits” IEEE
      Trans. Very Large Scale Integr. (VLSI)
      Syst., vol. 17, no. 5, pp. 638–645,May.
      2009.




                                                                           1626 | P a g e

Weitere ähnliche Inhalte

Was ist angesagt?

Power electronics note
Power electronics notePower electronics note
Power electronics note
ravalgautu
 
Analysis and design_of_a_low-voltage_low-power[1]
Analysis and design_of_a_low-voltage_low-power[1]Analysis and design_of_a_low-voltage_low-power[1]
Analysis and design_of_a_low-voltage_low-power[1]
Srinivas Naidu
 
December 2015 Online Magazine 39-42
December 2015 Online Magazine 39-42December 2015 Online Magazine 39-42
December 2015 Online Magazine 39-42
Devyani Balyan
 

Was ist angesagt? (20)

U26130135
U26130135U26130135
U26130135
 
Design of bridgeless high-power-factor buck-converter operating in discontinu...
Design of bridgeless high-power-factor buck-converter operating in discontinu...Design of bridgeless high-power-factor buck-converter operating in discontinu...
Design of bridgeless high-power-factor buck-converter operating in discontinu...
 
Simulation of H6 full bridge Inverter for grid connected PV system using SPWM...
Simulation of H6 full bridge Inverter for grid connected PV system using SPWM...Simulation of H6 full bridge Inverter for grid connected PV system using SPWM...
Simulation of H6 full bridge Inverter for grid connected PV system using SPWM...
 
09 15 dec13 #5019 harmonic mitigeted front end
09 15 dec13 #5019 harmonic mitigeted front end09 15 dec13 #5019 harmonic mitigeted front end
09 15 dec13 #5019 harmonic mitigeted front end
 
Power electronics note
Power electronics notePower electronics note
Power electronics note
 
Comparison of Buck-Boost and Cuk Converters for BLDC Drive Applications with PFC
Comparison of Buck-Boost and Cuk Converters for BLDC Drive Applications with PFCComparison of Buck-Boost and Cuk Converters for BLDC Drive Applications with PFC
Comparison of Buck-Boost and Cuk Converters for BLDC Drive Applications with PFC
 
A novel control strategy for synchronous rectifier buck converter for improve...
A novel control strategy for synchronous rectifier buck converter for improve...A novel control strategy for synchronous rectifier buck converter for improve...
A novel control strategy for synchronous rectifier buck converter for improve...
 
Fuzzy Logic Controller Based on Voltage Source Converter-HVDC with MMC Topology
Fuzzy Logic Controller Based on Voltage Source Converter-HVDC with MMC TopologyFuzzy Logic Controller Based on Voltage Source Converter-HVDC with MMC Topology
Fuzzy Logic Controller Based on Voltage Source Converter-HVDC with MMC Topology
 
Study and Review on Various Current Comparators
Study and Review on Various Current ComparatorsStudy and Review on Various Current Comparators
Study and Review on Various Current Comparators
 
Analysis and design_of_a_low-voltage_low-power[1]
Analysis and design_of_a_low-voltage_low-power[1]Analysis and design_of_a_low-voltage_low-power[1]
Analysis and design_of_a_low-voltage_low-power[1]
 
IRJET- Integrated Variable Resistor using Switched Capacitor Circuit and Volt...
IRJET- Integrated Variable Resistor using Switched Capacitor Circuit and Volt...IRJET- Integrated Variable Resistor using Switched Capacitor Circuit and Volt...
IRJET- Integrated Variable Resistor using Switched Capacitor Circuit and Volt...
 
M24098107
M24098107M24098107
M24098107
 
An Enhanced Flying Capacitor Multilevel Inverter fed Induction Motor Drive
An Enhanced Flying Capacitor Multilevel Inverter fed Induction Motor DriveAn Enhanced Flying Capacitor Multilevel Inverter fed Induction Motor Drive
An Enhanced Flying Capacitor Multilevel Inverter fed Induction Motor Drive
 
Optimal Body Biasing Technique for CMOS Tapered Buffer
Optimal Body Biasing Technique for  CMOS Tapered Buffer Optimal Body Biasing Technique for  CMOS Tapered Buffer
Optimal Body Biasing Technique for CMOS Tapered Buffer
 
Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low P...
Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low P...Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low P...
Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low P...
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
 
Bc4101312317
Bc4101312317Bc4101312317
Bc4101312317
 
PID Compensator Control Scheme of Synchronous Buck DC-DC Converter with ZVS L...
PID Compensator Control Scheme of Synchronous Buck DC-DC Converter with ZVS L...PID Compensator Control Scheme of Synchronous Buck DC-DC Converter with ZVS L...
PID Compensator Control Scheme of Synchronous Buck DC-DC Converter with ZVS L...
 
December 2015 Online Magazine 39-42
December 2015 Online Magazine 39-42December 2015 Online Magazine 39-42
December 2015 Online Magazine 39-42
 
Fm3110901095
Fm3110901095Fm3110901095
Fm3110901095
 

Andere mochten auch

Andere mochten auch (20)

Ku2418231829
Ku2418231829Ku2418231829
Ku2418231829
 
Jq2416671672
Jq2416671672Jq2416671672
Jq2416671672
 
In2414961500
In2414961500In2414961500
In2414961500
 
Hk2413161321
Hk2413161321Hk2413161321
Hk2413161321
 
Ja2415771582
Ja2415771582Ja2415771582
Ja2415771582
 
Kd2417341737
Kd2417341737Kd2417341737
Kd2417341737
 
Kz2418571860
Kz2418571860Kz2418571860
Kz2418571860
 
Kq2418061809
Kq2418061809Kq2418061809
Kq2418061809
 
Hf2412861289
Hf2412861289Hf2412861289
Hf2412861289
 
Jl2416451648
Jl2416451648Jl2416451648
Jl2416451648
 
Kl2417741781
Kl2417741781Kl2417741781
Kl2417741781
 
Lf2418891896
Lf2418891896Lf2418891896
Lf2418891896
 
Lc2418711873
Lc2418711873Lc2418711873
Lc2418711873
 
Jv2416961699
Jv2416961699Jv2416961699
Jv2416961699
 
Kx2418461851
Kx2418461851Kx2418461851
Kx2418461851
 
Hy2414011407
Hy2414011407Hy2414011407
Hy2414011407
 
Im2414901495
Im2414901495Im2414901495
Im2414901495
 
If2414451450
If2414451450If2414451450
If2414451450
 
Iw2415551560
Iw2415551560Iw2415551560
Iw2415551560
 
Georgia aniversário
Georgia aniversárioGeorgia aniversário
Georgia aniversário
 

Ähnlich wie Jh2416211626

A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
IJMTST Journal
 
Ap2419031907
Ap2419031907Ap2419031907
Ap2419031907
IJMER
 
High Voltage Direct Current Transmission Systems 2Mark Materials
High Voltage Direct Current Transmission Systems 2Mark MaterialsHigh Voltage Direct Current Transmission Systems 2Mark Materials
High Voltage Direct Current Transmission Systems 2Mark Materials
Santhosh Kumar
 

Ähnlich wie Jh2416211626 (20)

High efficiency three phase nine level diode clamped multilevel inverter
High efficiency three phase nine level diode clamped multilevel inverterHigh efficiency three phase nine level diode clamped multilevel inverter
High efficiency three phase nine level diode clamped multilevel inverter
 
2
22
2
 
High-Power Bidirectional Dual Active Bridge and Double Dual Active Bridge DC-...
High-Power Bidirectional Dual Active Bridge and Double Dual Active Bridge DC-...High-Power Bidirectional Dual Active Bridge and Double Dual Active Bridge DC-...
High-Power Bidirectional Dual Active Bridge and Double Dual Active Bridge DC-...
 
A Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC Applications
A Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC ApplicationsA Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC Applications
A Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC Applications
 
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
 
Fj24994999
Fj24994999Fj24994999
Fj24994999
 
L43067578
L43067578L43067578
L43067578
 
IRJET- High Efficiency Bridge-Less Battery Charger for Light Electric Veh...
IRJET-  	  High Efficiency Bridge-Less Battery Charger for Light Electric Veh...IRJET-  	  High Efficiency Bridge-Less Battery Charger for Light Electric Veh...
IRJET- High Efficiency Bridge-Less Battery Charger for Light Electric Veh...
 
Bidirectional Resonant DC-DC converter for Microgrid Applications
Bidirectional Resonant DC-DC converter for Microgrid ApplicationsBidirectional Resonant DC-DC converter for Microgrid Applications
Bidirectional Resonant DC-DC converter for Microgrid Applications
 
Ap2419031907
Ap2419031907Ap2419031907
Ap2419031907
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Overview of Soft-Switching DC-DC Converters
Overview of Soft-Switching DC-DC ConvertersOverview of Soft-Switching DC-DC Converters
Overview of Soft-Switching DC-DC Converters
 
20 9747 series paper id 0018(edit ty)
20 9747 series paper id 0018(edit ty)20 9747 series paper id 0018(edit ty)
20 9747 series paper id 0018(edit ty)
 
IRJET- 127 Multilevel Inverter
IRJET- 127 Multilevel InverterIRJET- 127 Multilevel Inverter
IRJET- 127 Multilevel Inverter
 
An Approach for Low Power CMOS Design
An Approach for Low Power CMOS DesignAn Approach for Low Power CMOS Design
An Approach for Low Power CMOS Design
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Fuzzy based control of Transformer less Coupled inductor based DC-DC converter
Fuzzy based control of Transformer less Coupled inductor based DC-DC converterFuzzy based control of Transformer less Coupled inductor based DC-DC converter
Fuzzy based control of Transformer less Coupled inductor based DC-DC converter
 
High Voltage Direct Current Transmission Systems 2Mark Materials
High Voltage Direct Current Transmission Systems 2Mark MaterialsHigh Voltage Direct Current Transmission Systems 2Mark Materials
High Voltage Direct Current Transmission Systems 2Mark Materials
 
Performance Analysis of FPGA based Diode Clamped Multilevel Inverter Fed Indu...
Performance Analysis of FPGA based Diode Clamped Multilevel Inverter Fed Indu...Performance Analysis of FPGA based Diode Clamped Multilevel Inverter Fed Indu...
Performance Analysis of FPGA based Diode Clamped Multilevel Inverter Fed Indu...
 

Jh2416211626

  • 1. T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.1621-1626 Low Power High Performance Baud Rate Generator using MTCMOS Voltage Interface Circuits T.Esther Rani*, Dr.Rameshwar Rao** *(Department of Electronics and Communications Engineering, CVR College of Engineering, JNT University, Hyderabad-85) ** (Vice Chancellor, JNT University, Hyderabad-85) ABSTRACT Multiple supply voltages (multi-VDD) is implemented. Also, these level converters are used an effective technique for reducing the power in Baud Rate generator. The Baud Rate Generator consumption without compromising speed in an using Multi-VTH level converter reduces power integrated circuit(IC). Different blocks of the when compared with Baud Rate Generator with design may be operated with different supply Feedback level converters. Section II reviews some voltages. To transfer signals among the circuits basic concepts such as sources of power dissipation operating at different voltage levels different in digital CMOS circuits and section III describes level conversion circuits are required. Two types Low Power Multi-VDD techniques for reducing the of Voltage Interface Circuits, feedback based power dissipation. Section IV introduces the level and Multi- VTH are implemented and used in the converters. Section V introduces the Design and implementation of Baud Rate generator. The Implementation of Baud Rate Generator. Baud need for level conversion has delay and energy Rate Generator is the component that allows penalties. In this paper, the Voltage Interface varying signaling rate and communicates with circuits are individually optimized for minimum other devices. Section VI discusses the results of all propagation delay. Baud Rate generator is the level converters and baud rate generator designed and implemented using 90nm TSMC implemented at transistor level using cadence CMOS technology. Virtuoso tool in 90nm CMOS technology. Section VII presents the conclusion and future scope of the Keywords – Feedback based, Integrated circuits, work. Multi-Vth, Multi-VDD, Voltage interface circuits II. SOURCES OF POWER DISSIPATION I. INTRODUCTION The major sources of power dissipation in Power is considered as the most relevant CMOS circuit can be summarized as follows. design objective for many classes of CMOS i. Dynamic Power Dissipation circuits, and has replaced more conventional Current flows from VDD to GND when metrics such as area, delay, or testability. logic transition occurs. Dynamic power is due to Technology scaling has naturally helped low-power the dissipation during the capacitances design. In fact, scaling implies lower supply charge/discharge process. CMOS circuits dissipate voltages. Since dynamic power is proportional to power by charging the various load capacitances the square of the supply voltage and scaling whenever they are switched. In one complete cycle provides an effective way to reduce power of CMOS logic, current flows from VDD to the load consumption. Unfortunately, supply voltage scaling capacitance to charge it and then flows from the adversely affects performance of circuit. charged load capacitance to ground during The feedback based and Multi-VTH based discharge: voltage interface circuits (level converters) are P = CV2f-------------(1) implemented here for minimum delay and low Since most gates do not switch at every power. The standard level converters based on clock cycle, they are often accompanied by a feedback has one or more feed back paths because factor α, called the activity factor. Now, the of which delay is more. The new circuits employ dynamic power dissipation may be re-written as: multi-VTH transistors in order to suppress the dc P = αCV2f -----------(2) current paths in CMOS gates driven by low-swing ii. Static Power Dissipation input signals. These level converters (Voltage Static power dissipation occurs when interface circuits) are compared with the feedback- current flows from VDD to GND regardless of logic based designed circuits for different values of the transition. It consists of three components, (a) Sub- lower supply voltages in a multi-VDD system. threshold condition when the transistors are off, (b) In this paper, the Feedback and Multi-VTH Tunneling current through gate oxide and (c) based voltage level converters are designed and Leakage current through reverse biased diodes. 1621 | P a g e
  • 2. T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.1621-1626 iii. Short circuit Power Dissipation a low voltage driver and a full voltage swing Since there is a finite rise/fall time for receiver [5,11,12]. both PMOS and NMOS, during transition from off A. Feedback-Based Level Converters to on, both the transistors will be on for a small The operation of p-type transistors in the period of time in which current will find a path pull-up network is controlled by an internal directly from VDD to ground, hence creating a short feedback mechanism. This is not directly operated circuit current. Short circuit power dissipation by the low voltage swing input signal. Therefore it increases with rise and fall time of the transistors avoids the formation of static dc current paths [4]. within the circuit. Due to the slow response of the internal feedback circuitry, these traditional level III. MULTI-VDD TECHNIQUES converters suffer from high short-circuit power and Even though many low power techniques long propagation delay [7]. are existing to reduce dynamic power, Multi-VDD is The n-type transistors in the pull-down efficient. Dynamic power is directly proportional to network are driven by low voltage swing signals power supply. Hence naturally reducing power unlike the p-type transistors in pull-up network that significantly improves the power performance. At receive higher gate overdrive voltages from the the same time gate delay increases due to the full-voltage swing feedback paths. Particularly, at decreased threshold voltage. High voltage can be very low input voltages, the widths of the applied to the timing critical path and rest of the transistors that are directly driven by the low-swing chip runs in lower voltage. Overall system signals need to be significantly increased to balance performance is maintained. Different blocks having the strength of the pull-up and the pull-down different voltage supplies can be integrated in SoC. networks. This causes further degradation in the This increases power planning complexity in terms speed and the power efficiency of the level of laying down the power rails and power grid converters when utilized with very low input structure[1]. Level shifters are necessary to voltages. Only two circuits are considered from interface between different blocks. Multi voltage standard feedback based level converters [12]. design strategies can be broadly classified as: i. Standard Feedback-Based Level Converter, LC1 Static Voltage Scaling (SVS): Different voltages The standard feedback-based level are applied to different blocks or subsystems, but converter , LC1 is shown in Figure1. The circuit they are fixed voltage sources in the SoC design. has two feedback paths and delay is more with this. Multi-level Voltage Scaling (MVS): The block or subsystem of ASIC or SoC design is switched between two or more voltage levels. But for different operating modes limited numbers of discrete voltage levels are supported[3]. Dynamic Voltage and Frequency Scaling (DVFS): Voltage as well as frequency is dynamically varied as per the different working modes of the design so as to achieve power efficiency. When high speed of operation is required voltage is increased to attain higher speed of operation with the penalty of increased power consumption[6]. Adaptive voltage Scaling (AVS): Here voltage is controlled using a control loop. This is an extension Figure1. Standard level converter, LC1 of DVFS[9,10]. ii. Feedback based Level Converter, LC2 IV. LEVEL CONVERTERS To improve the speed of operation while In this section various level conversion compared to level converter LC1, level converter techniques are considered. The issues related to the LC2 is designed. With only one feedback path, standard feedback-based level converters and the delay is reduced. The circuit diagram level multi-VTH level converters in CMOS technology converter LC2 is shown in Figure2. are discussed here. When a low swing signal directly drives a gate that is connected to a higher supply voltage, the p-type transistors in the pull-up network of the receiver cannot be fully turned off. Static dc current is produced by the receiver driven by low voltage swing signal. To reduce this dc current, voltage interface circuits are used between 1622 | P a g e
  • 3. T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.1621-1626 Figure4. Level converter LC4 iii. Multi-Vth Based Level Converter LC5 Another circuit of Multi-Vth Based level converter, Figure2. Level converter, LC2 LC is shown in Figure 5. This circuit is best among all the above mentioned in terms of power and As LC1, LC2 also consumes significant delay. short-circuit power during both low-to-high and high-to-low transitions of the output. Furthermore, when VDDL is reduced, the size of M2 must be increased significantly for maintaining functionality. The load seen by the driver circuit therefore increases at lower VDDL[11]. B. Multi-Vth Based Level Converters The feedback based level converters depend on the feedback, but the Multi-Vth based level converters employ a multi-Vth CMOS technology to eliminate the static dc current. The high threshold voltage pull-up network transistors Figure5. Level converter LC5. in this type of level converters are directly driven by the low-swing signals and they do not produce static dc current problem[8,12]. V. BAUD RATE GENERATOR i. Multi-Vth Based Level Converter, LC3 Baud rate generator is the component The circuit diagram of level converter which allows varying the signaling rate and LC3 is shown in figure3. This circuit also takes low communicates with other devices. The baud rate supply voltage as input and converts to a higher generator is an oscillator. It provides a frequency voltage level. signal which is used to control the timing on the serial interface. Since different line speeds need a different timing, the baud rate generation needs to be flexible. There are two general ways to achieve a flexible baud rate generation. Either the baud rate generator itself is programmable and can produce the necessary different frequencies, or the UART has a programmable divider or multiplier, which converts the frequency from the baud rate generator into the required frequencies. Depending on the actual UART, the baud rate generator either needs to be some external component, or it is directly integrated into the UART chip. From the outside, the programmatic Figure 3. Level converter LC3 change of the baud rate generation is the means to control the speed of the serial connection. Often ii. Multi-Vth Based Level Converter LC4 when programming the baud rate one doesn't The circuit of Multi-Vth Based level provide the desired baud rate in 'clear text', but converter LC4 is shown in Figure 4. needs to provide some divider or factor. Providing the right divider or factor requires knowing the basic frequency of the used baud rate generator. 1623 | P a g e
  • 4. T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.1621-1626 The Verilog code for the Baud rate The schematic diagram of baud rate generator generator is developed and simulated using the (BRG) is shown in figure8. Xilinx ISE simulator and also synthesized using the XSTSynthesizer. The gate level schematic of the baud rate generator is considered as the logic block diagram. The Logic block diagram of baud rate generator is shown in figure6. It consists of four different block are 4-bit count, 8-bit count, 3-bit count and 8x1Multiplexer. Figure8. Schematic diagram of baud rate generator. The Test Bench of baud rate generator is shown in figure9. Figure6. Logic Block diagram of Baud rate generator VI. RESULTS All level converters are designed using Cadence Virtuoso Schematic Editor. Simulation waveform for standard level converter is shown in figure7. Table1 shows the comparison of power and delay values of the different level converters. Figure9. Test Bench of baud rate generator. The Simulation waveform of baud rate generator is shown in figure10 and figure 11 shows the out put waveforms of the baud rate generator with level converters. Figure 12 and figure 13 shows the power of the baud rate generator with and without level converters. Figure 7. Simulation waveform of standard level converter TABLE1 :Comparison of Power and Delay calculations of the level converters Level Power Delay Converter (µw) (ns) LC1 11.26 1.081 LC2 3.81 1.069 LC3 3.341 1.034 LC4 2.137 1.011 Figure10. Simulation waveform of baud rate generator. LC5 1.897 1.010 1624 | P a g e
  • 5. T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.1621-1626 TABLE II: Comparison of Power for baud rate generator with different level converters Baud rate Power (µw) Generator with Level Converter LC1 322.3 LC2 136.6 LC3 301.4 LC4 133.6 Figure 11.Simulation waveform of BRG with level LC5 122.3 converter VII. CONCLUSION In the standard feedback based level converters the low voltage level is converted to high voltage level based on feedback. But the Multi-Vth circuits employ multi-VTH transistors in order to suppress the dc current paths in CMOS gates driven by low-swing input signals. These level converters are compared with the feedback- based designed circuits for different values of the lower supply voltages in a multi-VDD system. When the circuits are individually optimized for minimum power consumption in a 90nm technology, the multi-VTH level converters offer . significant power savings as compared to the Figure 12. Power waveform of BRG feedback-based circuits. Alternatively, when the circuits are individually optimized for minimum propagation delay, speed is enhanced in the multi- VTH circuits. Significant power savings are observed when Multi-Vth level converter LC5 is used as interfacing circuit. References [1] K. Usami and M. Horowitz, “Clustered voltage scaling technique for low-power design,” in Proc. Int. Symp. Low-Power Electronics Design, 1995, pp. 3–8. [2] C. Chen, A. Srivastava, and M. Sarrafzadeh, “On gate level power optimization using dual-supply voltages,” Figure 13 Power output of BRG with level IEEE Trans. VLSI Syst., vol. 9, pp. 616– converter 629, Oct. 2001. [3] R. Puri, L. Stok, J. Cohn, D. Kung, D. Pan, D. Sylvester, A. Srivastava,and S. Kulkarni, “Pushing ASIC performance in 1625 | P a g e
  • 6. T.Esther Rani, Dr.Rameshwar Rao / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.1621-1626 a power envelope,” in Proc. Design Automation Conf., 2003, pp. 788–793. [4] V.Kursun and E. G. Friedman, “Multi- Voltage CMOS Circuit Design”, New York: Wiley, 2006. [5] A. Srivastava and D. Sylvester, “Minimizing total power by simultaneous Vdd/Vth Assignment,” in Proc. IEEE Des. Autom. Conf., Jan.2003, pp. 400–403 [6] S. H. Kulkarni, A. N. Srivastava, and D. Sylvester, “A new algorithm for improved VDD assignment in low power dual VDD systems,” in Proc. IEEE Int. Symp. Low Power Electron. Des., Aug. 2004, pp. 200–205. [7] F. Ishihara, F. Sheikh, and B. Nikolic´, “Level conversion for dualsupply systems,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 2, pp. 185–195, Feb. 2004. [8] V. Kursun, R. M. Secareanu, and E. G. Friedman, “CMOS voltage interface circuit for low power systems,” in Proc. IEEE Int. Symp. Circuits Syst., May 2002, vol. 3, pp. 667–670. [9] M. Takahashi et al., “A 60-mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme,” IEEE J. Solid- State Circuits, vol. 33, no. 11, pp. 1772–1780, Nov. 1998. [10] M. Hamada et al., “A top-down lowpower design technique using clustered voltage scaling with variable supply-voltage scheme,” in Proc. IEEE Custom Integr. Circuits Conf., May 1998, pp. 495–498. [11] S. H. Kulkarni and D. Sylvester, “High performance level conversion for dual VDD design,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 9, pp. 926–936, Sep. 2004. [12] Sherif A. Tawfik and Volkan Kursun, , “Low Power and High speed Multi threshold voltage interface circuits” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 5, pp. 638–645,May. 2009. 1626 | P a g e