SlideShare ist ein Scribd-Unternehmen logo
1 von 6
Downloaden Sie, um offline zu lesen
Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81
www.ijera.com 76 | P a g e
Investigating the Performance of NoC Using Hierarchical
Routing Approach
Bibin Lawrence R1
, Karthikeyan A2
1
M.E-VLSI Design Vel Tech Multi Tech Dr. Rangarajan Dr. Sakunthala Engineering College, Avadi, Chennai-
600 062, India
2
Department of Electronics and Communication Vel Tech Multi Tech Dr. Rangarajan Dr. Sakunthala
Engineering College, Avadi, Chennai-600 062, India
ABSTRACT
The Network-on-Chip (NoC) model has appeared as a revolutionary methodology for incorporatingmany
number of intellectual property (IP) blocks in a die. As said by the International Roadmap for Semiconductors
(ITRS), it is must to scale down the device size. In order to reduce the device long interconnection should be
avoided. For that, new interconnect patterns are need. Three-dimensional ICs are proficient of achieving
superior performance, resistance against noise and lower interconnect power consumption compared to
traditional planar ICs. In this paper, network data routed by Hierarchical methodology. We are analyzing total
number of logic gates and registers, power consumption and delay when different bits of data transmitted using
Quartus II software.
Keywords- System-on-Chip (SoC), Network-on-Chip (NoC), Intellectual Property (IP), International Road for
Semiconductors (ITRS), Integrated Circuit (IC), Hierarchical Routing, 3D-IC, Fault Tolerant, Monitoring
Platform, Torus, Mesh.
I. Introduction
With technology improves, we have to scale
down the device. For that we have to reduce the chip
measures, but long interconnections are hinders the
high performance of SoCs. These long wire
connections are becoming a performance obstacles
in terms of communication latency and power.
The Network-on-Chip (NoC) model is emerging
as a revolutionary methodology in solving the
performance limitations due to the long
interconnects. The NoC helps to build high
numbers of intellectual property (IP) cores in a
single SoC . However, limited floorplanning
choices of the 2D integrated circuits (ICs) limit
the performance enhancements of NoC
architectures. 3D – IC, contains multiple layers of
active devices. Multiple layers have the potential for
boosting system performance [1. Because of having
shorter interconnect length, 3D IC enhanced its
performance even when we are scaling down the
device [5]. Due to the shorter interconnect length,
package density is enriched and so power is
consumed [5]. The performance improvement
arising from the architectural advantages of NoCs
will be significantly enhanced if 3D ICs are
adopted as the basic fabrication methodology.
With a 3D degrees of freedom, we can achieve
impossible works of 2D. This paper organized as
follows. Section 2 explained about NoC technology
and its design parameters, section 3 describes about
proposed architecture is and finally section 4
describes work done and conclusion.
II. NoC Technology
Today buses are the leading technology for
System –on- Chip (SoC). However, buses have
relentless limitations that become obvious, if the
number of components in a system large. The bus is
a communication bottleneck, because it used to
broadcast each transfer, thus it will consume more
power. Network-on-Chip shall overcome the
limitation of buses, since they provide a much large
amount of communication resources and the
scalable. A terminal node can be any type of
component like processor, memory, hardware
component, bus-based system with several
components. Data packets are routed via channels
and switches from source to destination. From
figure.1, NoC architecture consists of Network
Interfaces, Processing Elements and routers.
RESEARCH ARTICLE OPEN ACCESS
Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81
www.ijera.com 77 | P a g e
Fig1. NoC Architecture
2.1 Network Interface
Different terminals with different interfaces shall
be connected to the network. The network uses a
specific protocol and all traffic on the network has to
comply to the format of this protocol. In order to
allow for different resources to connect to the
network, the network interface can be divided into a
resource independent part (NI) and a resource
dependent part (Resource NI).
2.2 OSI Layers
International Standards Organization (ISO)
established the Open Systems Interconnection (OSI)
model to define networks. It gives an idea to
organize the network components. Network-on-chip
also uses the same protocol like OSI model.
 Physical: It defines connector and interface
specifications, as well as the medium
requirements. Electrical, mechanical, functional
specifications are provided for sending a bit
stream on a computer network.
 Data link: This filmaffords error detection and
control across a single link (single hop).
 Network: It gives end-to-end multi hop data
communication. This layer is responsible for
data packets forwarding.
 Transport: Connection-oriented services over
multiple links e.g., ordering of packets, error
free connection.
2.3 Performance Metrices
The topology of an interconnection network is
specified by a set of nodes N* connected by set of
channels C. Messages originate and terminate in a set
of terminal nodes N, where
*N N (1)
Each channel ( , )c x y C  connects a source
node y, where , *x y N . The channel contains
number of parallel signals which is described by its
width wc or wxy. The channel‟s source node is
represented by sc and destination node is represented
by dc.Its frequency fc or fxy is the rate at which bits
are transported on each signal. Its latency tcortxy, is
the time required for a bit to travel from x to y.
Usually the latency is directly related to the physical
length of the channel, lc = vtc by a propagation
velocity v. The bandwidth of the channel is bc = Wc
fc.
 Path
A path is an well-organizedset of channels P =
{c1,c2
.cn}. The length or hop count of a path is
|P|. A minimal path from node x to node y is a path
with the smallest hop-count. Thecollection of least
paths between x and y is denoted Rxy. The diameter
Hmax is the largest least hop count over all pairs of
terminal nodes. The averagesmallest hop count Hmin
is defined as the average hop count of all sources and
destinations.
min 2
,
1
( , )
x y N
H H x y
N 
  (2)
The physical distance of the path is
( ) c
c P
D P l

  (3)
The dealy of the path is
( ) ( )t P D P v (4)
 Throughput
The throughput of a network is the data rate in
bits per second that the network accepts per input
port. The ideal throughput is defined as the
throughput assuming a perfect routing and flow
control. Maximum throughput occurs, when channel
of the nework becomes saturated.
 Latency
The latency of the network is the time required
for a message to traverse a network, from the time
the head arrives at the input port to the time when the
tail of the message departs the output port.Latency
depends not only on topology, but also on routing,
flow control and the design of the router.
III. Related Work
In this paper, we proposed new architecture and
hierarchical routing algorithm used. And we
calculated delay, power dissipation, total logic
elements and total registers for 8 bit, and 32 bit data
using Quartus II software and tabulated the results.
3.1 Hierarchical Routing
This paperused new logical mechanism called
as Cluster Based Hierarchical Routing (CBHR) to
Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81
www.ijera.com 78 | P a g e
improve the efficiency of NoC. This
algorithmembraces the following steps [8]:
 The first step is, the total network is separated
by different clusters of same or different sizes.
 Second step is providing algorithm for same or
different clusters for routing (local or global).
 The third step is change router‟s working
function regarding local or global routing.
From figure.2, we can understand the concept of
Hierarchical routing.
Fig2.CBHR Concept in NoC Architecture
3.2 Proposed Architecture
Fig3. Proposed New Architecture
Figure.3 shows the proposed architecture. Let us
consider „S‟ be the source of the network. Each node
in the network consists of four buffers. Buffer is a
temporary storage device. Four buffers are taken
with „n‟ length. Let n1, n2, n3, n4
are name of the
buffers. Each buffer stores four bits, eight bits,
sixteen bits and thirty two bit data. These „n‟ length
buffers are linked to the router to handle the data or
store the data. Suppose for counter 1, the buffer „n1‟
with „nth
‟ length is exceeded by the data is transfer to
the buffer „n2‟. „n2‟ will receive the data until it got
filled, once „n2‟ is filled, it sends the control to the
„n3‟ buffer. When „n3‟ is full, it will be transferred to
the buffer „n4‟. For counter 2, from source „S‟ it
splits into three buffers. Since it‟s following the
FIFO queuing the output data from the buffer „n1‟ in
counter 1 is goes to the buffer „n1‟ in counter 2. The
same steps are repeated here. For counter 3 also, the
same steps follows. For counter 4, from three routers
two other routers are created.
In all the four counter steps, the data of the
fourth buffer that is „n1‟ is the same (not changed).
So we can stop using the additional „n1‟ buffer.
Instead of using „n1th
‟ buffer, we can use „shared
memory‟. So when „n3‟ filled by 90% atleast, the
data is transferred to the shared memory. By
following the above steps as an algorithm, a router
can achieve less dropping values. So one can achieve
greater through put in the NoC‟s since dropping
value and through put have the indirect relation.
Further, all the buffers connected to the router are
harmonized to the clock with respect to the time
along with the shared memory.We are synchronizing
with shared memory to achieve smaller area and
great speed in the NoC‟s.
3.3 FIFO Queue
Fig4. FIFO Architecture
First in First Out (FIFO) is used as buffering
element or queuing element. FIFO queuing method
consists of number of buffers to store data. For
example, figure. 4shows that, this FIFO architecture
contains 4 bit data. So there are four buffers required.
The first input is fills the first row. To give next
input, the last column input is shifted to the next
row‟s first buffer. Likewise the other remaining rows
are getting filled. Thus stores the data. Size of the
FIFO is depends upon the amount of data necessary
to buffer. The size of the FIFO is determined by the
worst case scenario for the data transfer.
For worst case scenario, difference between the
data rate between write and read should be
maximum. So data rate of read operation is the
number of idle cycles and for read operation, there is
no idle cycle. For write operation the data rate will
be, Data rate = Number of data * rate of clock.
Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81
www.ijera.com 79 | P a g e
IV. Workdoneand Conclusion
4.1 8 Bit Data
Output waveforms of 8 bit data shown in figure
5(a),5(b),5(c). the clock tree synthesis, timing
analysis summary, total number of registers and
power analysis summary are shown in figure 6,7,8.
Fig 5(a).Output Waveform for 8 bit data
Fig 5(b).Output Waveform for 8 bit data
Fig 5(c).Output Waveform for 8 bit data
4.2 Clock Tree Synthesis
Standard Clock Tree Synthesis engines are
driven by timing closure and, hence, are not PVT
(process/voltage/temperature) variation aware. They
are used to fix setup/hold violations by adjusting the
clock skew, adding, removing, and swapping buffers,
or exploiting different clock wire lengths and levels
and so on. As a result, the skewsensitivity with
respect to PVT variations cannot be kept low, since it
has several contributors originating from different
physical phenomena.
Fig 6.Output Waveform for Clock Tree Synthesis
4.3 Timing Analysis
Fig 7.Simulated Result for Timing Analysis
The timing analyzer window helps to know the
worst case delay. timing analysis is the methodical
analysis of a digital circuit to determine if the timing
constraints imposed by components or interfaces are
met. Typically, this means that trying to prove that
all set-up, hold, and pulse-width times are being met.
The typical worst case delay is about 6.874 ns.
4.4 Power Analysis
The power dissipation of very large scale
integrated (VLSI) circuits is becoming a critical
concern. Accurate and efficient power estimation
during the design phase is required in order to meet
the power specifications without a costly redesign
process. The total thermal power dissipation from the
power analyzer is 70.23 mW.
Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81
www.ijera.com 80 | P a g e
Fig 8. Simulated Result for Power Analysis
4.5 Numberof Elements
Fig 9. Simulated Result for Number of Registers
From the Analysis and Synthesis Summary, we
calculated the toal number of registers is 32 and total
combination functions are 56. So that we can analyse
the power and delay regarding how much registers
and functions are used.
4.6 Shared Memory Architecture
Fig 10. Simulated Result for Shared Memory
Architecture
The above figure shows that the result of shared
memory architecture. For all the counter, the
memory was shared to reduce area and power. The
usage of buffers getting reduced because we used
common buffer to store same or unrepeated data.we
can use limited amount of buffers. So, we can
achieve the minimum buffer sizing and power
dissipation.
4.7 Comparative Table
Data Delay Power
Dissipati
on
Total
Logic
Gates
Total
Register
s
8 Bit 6.874 ns 70.23mw 32 32
16 Bit 6.832 ns 72.01mw 32 25
32 Bit 6.735 ns 79.85mw 32 25
Table 1 Comparison Table for Different Bit Data
V. CONCLUSION AND FUTURE
WORK
From the above table, we conclude that, when
transferring 32-bit data has maximum power
dissipation. But delay of 32-bit data is small, since
delay and power dissipation have inversely
proportional relation. The increased number of
registers and logic elements will leads to experienced
delay. The circuit or device is complex, when the
number or logic gates and registers are increased.
From the above comparison table, we know that, the
hierarchical routing algorithm is better results than
adaptive-z algorithm in terms of power, delay and
number pf registers. Here, we calculated power
dissipation, delay, number of logic gates and number
of registers of 8-bit, 16-bit, 32-bit data using
Hierarchical Routing and Adaptive Z algorithm and
Compare the results by Quartus II (Model Sim)
software. In future, we can give separate clocks for
each node so that we can improve the data speed.
Therefore we can avoid the unnecessary routing path
so we can consume power.
Reference
[1]. “High-performance and Fault-tolerant 3D
NoC-Bus Hybrid Architecture Using
ARB-NET- Based Adaptive Monitoring
Platform” by Amir-Mohammad Rahmani,
Kameshwar Rao Vaddina, Khalid Latif,
IEEE Transaction on computers, vol.63,
No. 3, March 2014.
[2]. “TSV Redundancy: Architecture and
Design issues in 3D IC” ,April 2012 by
Ang Chih Hsieh and Ting Ting Hwang
[3]. L.Guang, E.Nigussie, P.Rantala, J.Isoaho “
Hierarchical Agent Monitoring Design
Approach towards Self-Aware Parallel
Systems-on-Chip”, ACM Trans. Embedded
Computing Systems, vol 9, 2010.
[4]. C.Rusu, L.Anghel and D.Avresky, “RILM:
Reconfigurable Inter Layer Routing
Mechanism for Three-Dimensional Multi-
Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81
www.ijera.com 81 | P a g e
Layer Network-on-Chip”, Proc, Int. On
Line Testing Symp-2010.
[5]. “Network-on-Chip in a Three-
Dimensional Environment: A Performance
Evaluation”, by Brett Stanley Feero and
Parthapratim Pande, January 2009.
[6]. D. Park, S. Eachempati, R. Das,A. Mishra,
Y. Xie, N.Vijaykrishnan, and C.Das, “
MIRA: A Multi-Layered On-Chip
Interconnect Router Architecture”, Proc.
Int. Symp. Computer Architecture, vol 56,
2008
[7]. H. Matsutani, M. Koibuchi, and H. Amano,
“ Tightly-Coupled Multi-Layer Topologies
for 3D NoCs” Proc. Int‟l Conf. Parallel
Processing, 2007.
[8]. F. Li, C. Nicopoulos, T. Richardson, Y.Xie,
V. Narayanan, and M. Kandemir, “ Design
and management of Three-dimensional
chip multiprocessors using Network-in-
Memory”, Proc. Int‟l Symp. Computer
Architecture, 2006.
[9]. Three-Dimensional Integrated circuits.
July/ September 2006 – A.W.Topol, D.C.
La Tulipe, L. Shi, K. Bernstein, D.J.Frank.
[10]. M.Li,Q.A.Zeng, and W. B. Jone, “DyXY:
A Proximity Congestion-Aware Deadlock-
Free Dynamic Routing Method for
Network-on-Chip”, Proc. Design
Automation Conf., 2006
[11]. L. Ni and P. Lilje berge, and P. Mc
Kinley, “A Survey of Wormhole Routing
Techniques in Direct Networks”,
Computer, vol.26, Feb 1993

Weitere Àhnliche Inhalte

Was ist angesagt?

PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIPPERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIPVLSICS Design
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
Performance Evaluation of ad-hoc Network Routing Protocols using ns2 Simulation
Performance Evaluation of ad-hoc Network Routing Protocols using ns2 SimulationPerformance Evaluation of ad-hoc Network Routing Protocols using ns2 Simulation
Performance Evaluation of ad-hoc Network Routing Protocols using ns2 SimulationIDES Editor
 
Network optimization of ipv6 networks using tunnel header compression
Network optimization of ipv6 networks using tunnel header compressionNetwork optimization of ipv6 networks using tunnel header compression
Network optimization of ipv6 networks using tunnel header compressioneSAT Journals
 
ANALOG MODELING OF RECURSIVE ESTIMATOR DESIGN WITH FILTER DESIGN MODEL
ANALOG MODELING OF RECURSIVE ESTIMATOR DESIGN WITH FILTER DESIGN MODELANALOG MODELING OF RECURSIVE ESTIMATOR DESIGN WITH FILTER DESIGN MODEL
ANALOG MODELING OF RECURSIVE ESTIMATOR DESIGN WITH FILTER DESIGN MODELVLSICS Design
 
LOW AREA FPGA IMPLEMENTATION OF DROMCSLA-QTL ARCHITECTURE FOR CRYPTOGRAPHIC A...
LOW AREA FPGA IMPLEMENTATION OF DROMCSLA-QTL ARCHITECTURE FOR CRYPTOGRAPHIC A...LOW AREA FPGA IMPLEMENTATION OF DROMCSLA-QTL ARCHITECTURE FOR CRYPTOGRAPHIC A...
LOW AREA FPGA IMPLEMENTATION OF DROMCSLA-QTL ARCHITECTURE FOR CRYPTOGRAPHIC A...IJNSA Journal
 
Routing Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A SurveyRouting Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A SurveyIJEEE
 
AN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODERAN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODERIJCSEA Journal
 
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...Jaipal Dhobale
 
Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...
Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...
Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...Thesis Scientist Private Limited
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
ASSURED NEIGHBOR BASED COUNTER PROTOCOL ON MAC-LAYER PROVIDING SECURITY IN MO...
ASSURED NEIGHBOR BASED COUNTER PROTOCOL ON MAC-LAYER PROVIDING SECURITY IN MO...ASSURED NEIGHBOR BASED COUNTER PROTOCOL ON MAC-LAYER PROVIDING SECURITY IN MO...
ASSURED NEIGHBOR BASED COUNTER PROTOCOL ON MAC-LAYER PROVIDING SECURITY IN MO...cscpconf
 
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...Jaipal Dhobale
 
Providing A Network Encryption Approach to reduce end-to-end Delay in MANET
Providing A Network Encryption Approach to reduce end-to-end Delay in MANETProviding A Network Encryption Approach to reduce end-to-end Delay in MANET
Providing A Network Encryption Approach to reduce end-to-end Delay in MANETEditor IJCATR
 
32 marycherian 336-349
32 marycherian 336-34932 marycherian 336-349
32 marycherian 336-349Alexander Decker
 
Protocol data unit (pdu) a simulation
Protocol data unit (pdu) a simulationProtocol data unit (pdu) a simulation
Protocol data unit (pdu) a simulationAlexander Decker
 
Paper id 25201418
Paper id 25201418Paper id 25201418
Paper id 25201418IJRAT
 

Was ist angesagt? (19)

PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIPPERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Generator of pseudorandom sequences
Generator of pseudorandom sequences Generator of pseudorandom sequences
Generator of pseudorandom sequences
 
Performance Evaluation of ad-hoc Network Routing Protocols using ns2 Simulation
Performance Evaluation of ad-hoc Network Routing Protocols using ns2 SimulationPerformance Evaluation of ad-hoc Network Routing Protocols using ns2 Simulation
Performance Evaluation of ad-hoc Network Routing Protocols using ns2 Simulation
 
Network optimization of ipv6 networks using tunnel header compression
Network optimization of ipv6 networks using tunnel header compressionNetwork optimization of ipv6 networks using tunnel header compression
Network optimization of ipv6 networks using tunnel header compression
 
ANALOG MODELING OF RECURSIVE ESTIMATOR DESIGN WITH FILTER DESIGN MODEL
ANALOG MODELING OF RECURSIVE ESTIMATOR DESIGN WITH FILTER DESIGN MODELANALOG MODELING OF RECURSIVE ESTIMATOR DESIGN WITH FILTER DESIGN MODEL
ANALOG MODELING OF RECURSIVE ESTIMATOR DESIGN WITH FILTER DESIGN MODEL
 
LOW AREA FPGA IMPLEMENTATION OF DROMCSLA-QTL ARCHITECTURE FOR CRYPTOGRAPHIC A...
LOW AREA FPGA IMPLEMENTATION OF DROMCSLA-QTL ARCHITECTURE FOR CRYPTOGRAPHIC A...LOW AREA FPGA IMPLEMENTATION OF DROMCSLA-QTL ARCHITECTURE FOR CRYPTOGRAPHIC A...
LOW AREA FPGA IMPLEMENTATION OF DROMCSLA-QTL ARCHITECTURE FOR CRYPTOGRAPHIC A...
 
Routing Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A SurveyRouting Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A Survey
 
All nsbm exam papers
All nsbm exam papersAll nsbm exam papers
All nsbm exam papers
 
AN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODERAN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODER
 
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
 
Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...
Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...
Master Thesis on Performance Improvement of Underwater Acoustic Sensor Networ...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
ASSURED NEIGHBOR BASED COUNTER PROTOCOL ON MAC-LAYER PROVIDING SECURITY IN MO...
ASSURED NEIGHBOR BASED COUNTER PROTOCOL ON MAC-LAYER PROVIDING SECURITY IN MO...ASSURED NEIGHBOR BASED COUNTER PROTOCOL ON MAC-LAYER PROVIDING SECURITY IN MO...
ASSURED NEIGHBOR BASED COUNTER PROTOCOL ON MAC-LAYER PROVIDING SECURITY IN MO...
 
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
 
Providing A Network Encryption Approach to reduce end-to-end Delay in MANET
Providing A Network Encryption Approach to reduce end-to-end Delay in MANETProviding A Network Encryption Approach to reduce end-to-end Delay in MANET
Providing A Network Encryption Approach to reduce end-to-end Delay in MANET
 
32 marycherian 336-349
32 marycherian 336-34932 marycherian 336-349
32 marycherian 336-349
 
Protocol data unit (pdu) a simulation
Protocol data unit (pdu) a simulationProtocol data unit (pdu) a simulation
Protocol data unit (pdu) a simulation
 
Paper id 25201418
Paper id 25201418Paper id 25201418
Paper id 25201418
 

Andere mochten auch

A Low Phase Noise CMOS Quadrature Voltage Control Oscillator Using Clock Gate...
A Low Phase Noise CMOS Quadrature Voltage Control Oscillator Using Clock Gate...A Low Phase Noise CMOS Quadrature Voltage Control Oscillator Using Clock Gate...
A Low Phase Noise CMOS Quadrature Voltage Control Oscillator Using Clock Gate...IJERA Editor
 
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...IJERA Editor
 
Radix-3 Algorithm for Realization of Type-II Discrete Sine Transform
Radix-3 Algorithm for Realization of Type-II Discrete Sine TransformRadix-3 Algorithm for Realization of Type-II Discrete Sine Transform
Radix-3 Algorithm for Realization of Type-II Discrete Sine TransformIJERA Editor
 
Modeling and Analysis of Bracket Assembly in Aerospace Industry
Modeling and Analysis of Bracket Assembly in Aerospace IndustryModeling and Analysis of Bracket Assembly in Aerospace Industry
Modeling and Analysis of Bracket Assembly in Aerospace IndustryIJERA Editor
 
Performance Analysis Of PV Interfaced Neural Network Based Hybrid Active Powe...
Performance Analysis Of PV Interfaced Neural Network Based Hybrid Active Powe...Performance Analysis Of PV Interfaced Neural Network Based Hybrid Active Powe...
Performance Analysis Of PV Interfaced Neural Network Based Hybrid Active Powe...IJERA Editor
 
Load Frequency Control, Integral control, Fuzzy Logic.
Load Frequency Control, Integral control, Fuzzy Logic.Load Frequency Control, Integral control, Fuzzy Logic.
Load Frequency Control, Integral control, Fuzzy Logic.IJERA Editor
 
Intensify Denoisy Image Using Adaptive Multiscale Product Thresholding
Intensify Denoisy Image Using Adaptive Multiscale Product ThresholdingIntensify Denoisy Image Using Adaptive Multiscale Product Thresholding
Intensify Denoisy Image Using Adaptive Multiscale Product ThresholdingIJERA Editor
 
Presentation1
Presentation1Presentation1
Presentation1Paul Hopper
 
Extract the ancient letters from decorated
Extract the ancient letters from decoratedExtract the ancient letters from decorated
Extract the ancient letters from decoratedIJERA Editor
 
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapper
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapperModification on Energy Efficient Design of DVB-T2 Constellation De-mapper
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapperIJERA Editor
 
Application of Exergy and Taguchi Methodology for a Power Plant under Varying...
Application of Exergy and Taguchi Methodology for a Power Plant under Varying...Application of Exergy and Taguchi Methodology for a Power Plant under Varying...
Application of Exergy and Taguchi Methodology for a Power Plant under Varying...IJERA Editor
 
Effect of Chemical Treatment and Curing Parameters on Mechanical Properties o...
Effect of Chemical Treatment and Curing Parameters on Mechanical Properties o...Effect of Chemical Treatment and Curing Parameters on Mechanical Properties o...
Effect of Chemical Treatment and Curing Parameters on Mechanical Properties o...IJERA Editor
 
Radix-2 Algorithms for realization of Type-II Discrete Sine Transform and Typ...
Radix-2 Algorithms for realization of Type-II Discrete Sine Transform and Typ...Radix-2 Algorithms for realization of Type-II Discrete Sine Transform and Typ...
Radix-2 Algorithms for realization of Type-II Discrete Sine Transform and Typ...IJERA Editor
 
Ljcp1510 media thww
Ljcp1510 media thwwLjcp1510 media thww
Ljcp1510 media thwwAzizul Anwar
 
Load Frequency Control of Multi Area System using Integral-Fuzzy Controller
Load Frequency Control of Multi Area System using Integral-Fuzzy ControllerLoad Frequency Control of Multi Area System using Integral-Fuzzy Controller
Load Frequency Control of Multi Area System using Integral-Fuzzy ControllerIJERA Editor
 
Media & mode's of Communication
Media & mode's of CommunicationMedia & mode's of Communication
Media & mode's of CommunicationAkash Astalkar
 
Captivity to car use in Saudi Arabia: A Mixed logit model analysis
Captivity to car use in Saudi Arabia: A Mixed logit model analysisCaptivity to car use in Saudi Arabia: A Mixed logit model analysis
Captivity to car use in Saudi Arabia: A Mixed logit model analysisIJERA Editor
 
Định Hướng Dữ Liệu Trong Nền Kinh Táșż Chia Sáș»: Uber, GrabTaxi, AirBnB
Định Hướng Dữ Liệu Trong Nền Kinh Táșż Chia Sáș»: Uber, GrabTaxi, AirBnBĐịnh Hướng Dữ Liệu Trong Nền Kinh Táșż Chia Sáș»: Uber, GrabTaxi, AirBnB
Định Hướng Dữ Liệu Trong Nền Kinh Táșż Chia Sáș»: Uber, GrabTaxi, AirBnBDinh Le Dat (Kevin D.)
 
PAD 520 COMPLETE COURSE
PAD 520 COMPLETE COURSEPAD 520 COMPLETE COURSE
PAD 520 COMPLETE COURSEhwacer123
 

Andere mochten auch (20)

A Low Phase Noise CMOS Quadrature Voltage Control Oscillator Using Clock Gate...
A Low Phase Noise CMOS Quadrature Voltage Control Oscillator Using Clock Gate...A Low Phase Noise CMOS Quadrature Voltage Control Oscillator Using Clock Gate...
A Low Phase Noise CMOS Quadrature Voltage Control Oscillator Using Clock Gate...
 
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
 
Radix-3 Algorithm for Realization of Type-II Discrete Sine Transform
Radix-3 Algorithm for Realization of Type-II Discrete Sine TransformRadix-3 Algorithm for Realization of Type-II Discrete Sine Transform
Radix-3 Algorithm for Realization of Type-II Discrete Sine Transform
 
Modeling and Analysis of Bracket Assembly in Aerospace Industry
Modeling and Analysis of Bracket Assembly in Aerospace IndustryModeling and Analysis of Bracket Assembly in Aerospace Industry
Modeling and Analysis of Bracket Assembly in Aerospace Industry
 
Performance Analysis Of PV Interfaced Neural Network Based Hybrid Active Powe...
Performance Analysis Of PV Interfaced Neural Network Based Hybrid Active Powe...Performance Analysis Of PV Interfaced Neural Network Based Hybrid Active Powe...
Performance Analysis Of PV Interfaced Neural Network Based Hybrid Active Powe...
 
Load Frequency Control, Integral control, Fuzzy Logic.
Load Frequency Control, Integral control, Fuzzy Logic.Load Frequency Control, Integral control, Fuzzy Logic.
Load Frequency Control, Integral control, Fuzzy Logic.
 
Intensify Denoisy Image Using Adaptive Multiscale Product Thresholding
Intensify Denoisy Image Using Adaptive Multiscale Product ThresholdingIntensify Denoisy Image Using Adaptive Multiscale Product Thresholding
Intensify Denoisy Image Using Adaptive Multiscale Product Thresholding
 
Presentation1
Presentation1Presentation1
Presentation1
 
Extract the ancient letters from decorated
Extract the ancient letters from decoratedExtract the ancient letters from decorated
Extract the ancient letters from decorated
 
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapper
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapperModification on Energy Efficient Design of DVB-T2 Constellation De-mapper
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapper
 
Application of Exergy and Taguchi Methodology for a Power Plant under Varying...
Application of Exergy and Taguchi Methodology for a Power Plant under Varying...Application of Exergy and Taguchi Methodology for a Power Plant under Varying...
Application of Exergy and Taguchi Methodology for a Power Plant under Varying...
 
Effect of Chemical Treatment and Curing Parameters on Mechanical Properties o...
Effect of Chemical Treatment and Curing Parameters on Mechanical Properties o...Effect of Chemical Treatment and Curing Parameters on Mechanical Properties o...
Effect of Chemical Treatment and Curing Parameters on Mechanical Properties o...
 
Radix-2 Algorithms for realization of Type-II Discrete Sine Transform and Typ...
Radix-2 Algorithms for realization of Type-II Discrete Sine Transform and Typ...Radix-2 Algorithms for realization of Type-II Discrete Sine Transform and Typ...
Radix-2 Algorithms for realization of Type-II Discrete Sine Transform and Typ...
 
Ljcp1510 media thww
Ljcp1510 media thwwLjcp1510 media thww
Ljcp1510 media thww
 
Load Frequency Control of Multi Area System using Integral-Fuzzy Controller
Load Frequency Control of Multi Area System using Integral-Fuzzy ControllerLoad Frequency Control of Multi Area System using Integral-Fuzzy Controller
Load Frequency Control of Multi Area System using Integral-Fuzzy Controller
 
Media & mode's of Communication
Media & mode's of CommunicationMedia & mode's of Communication
Media & mode's of Communication
 
Captivity to car use in Saudi Arabia: A Mixed logit model analysis
Captivity to car use in Saudi Arabia: A Mixed logit model analysisCaptivity to car use in Saudi Arabia: A Mixed logit model analysis
Captivity to car use in Saudi Arabia: A Mixed logit model analysis
 
Định Hướng Dữ Liệu Trong Nền Kinh Táșż Chia Sáș»: Uber, GrabTaxi, AirBnB
Định Hướng Dữ Liệu Trong Nền Kinh Táșż Chia Sáș»: Uber, GrabTaxi, AirBnBĐịnh Hướng Dữ Liệu Trong Nền Kinh Táșż Chia Sáș»: Uber, GrabTaxi, AirBnB
Định Hướng Dữ Liệu Trong Nền Kinh Táșż Chia Sáș»: Uber, GrabTaxi, AirBnB
 
PAD 520 COMPLETE COURSE
PAD 520 COMPLETE COURSEPAD 520 COMPLETE COURSE
PAD 520 COMPLETE COURSE
 
The Art Of Financial Organizing
The Art Of Financial OrganizingThe Art Of Financial Organizing
The Art Of Financial Organizing
 

Ähnlich wie Investigating the Performance of NoC Using Hierarchical Routing Approach

A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)journalBEEI
 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyIJRES Journal
 
Enhanced Leach Protocol
Enhanced Leach ProtocolEnhanced Leach Protocol
Enhanced Leach Protocolijceronline
 
Area-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipArea-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipVLSICS Design
 
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPAREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPVLSICS Design
 
Enhancement of Improved Balanced LEACH for Heterogeneous Wireless Sensor Netw...
Enhancement of Improved Balanced LEACH for Heterogeneous Wireless Sensor Netw...Enhancement of Improved Balanced LEACH for Heterogeneous Wireless Sensor Netw...
Enhancement of Improved Balanced LEACH for Heterogeneous Wireless Sensor Netw...acijjournal
 
Performance Evaluation of LEACH Protocol for Wireless Sensor Network
Performance Evaluation of LEACH Protocol for Wireless Sensor NetworkPerformance Evaluation of LEACH Protocol for Wireless Sensor Network
Performance Evaluation of LEACH Protocol for Wireless Sensor NetworkAM Publications
 
Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...eSAT Journals
 
Optimizing the Performance of I-mod Leach-PD Protocol in Wireless Sensor Netw...
Optimizing the Performance of I-mod Leach-PD Protocol in Wireless Sensor Netw...Optimizing the Performance of I-mod Leach-PD Protocol in Wireless Sensor Netw...
Optimizing the Performance of I-mod Leach-PD Protocol in Wireless Sensor Netw...ijsrd.com
 
Design of a Reliable Wireless Sensor Network with Optimized Energy Efficiency...
Design of a Reliable Wireless Sensor Network with Optimized Energy Efficiency...Design of a Reliable Wireless Sensor Network with Optimized Energy Efficiency...
Design of a Reliable Wireless Sensor Network with Optimized Energy Efficiency...paperpublications3
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Design and Implementation Of Packet Switched Network Based RKT-NoC on FPGA
Design and Implementation Of Packet Switched Network Based RKT-NoC on FPGADesign and Implementation Of Packet Switched Network Based RKT-NoC on FPGA
Design and Implementation Of Packet Switched Network Based RKT-NoC on FPGAIJERA Editor
 
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPA ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPijaceeejournal
 
Paper id 23201411
Paper id 23201411Paper id 23201411
Paper id 23201411IJRAT
 
Literature Review: Convey the Data in Massive Parallel Computing
Literature Review: Convey the Data in Massive Parallel ComputingLiterature Review: Convey the Data in Massive Parallel Computing
Literature Review: Convey the Data in Massive Parallel ComputingAM Publications,India
 
IRJET- Performance Improvement of Wireless Network using Modern Simulation Tools
IRJET- Performance Improvement of Wireless Network using Modern Simulation ToolsIRJET- Performance Improvement of Wireless Network using Modern Simulation Tools
IRJET- Performance Improvement of Wireless Network using Modern Simulation ToolsIRJET Journal
 
IJSRED-V1I1P5
IJSRED-V1I1P5IJSRED-V1I1P5
IJSRED-V1I1P5IJSRED
 
OSPF (Open Shortest Path First) Case Study: Anil Nembang
OSPF (Open Shortest Path First) Case Study: Anil NembangOSPF (Open Shortest Path First) Case Study: Anil Nembang
OSPF (Open Shortest Path First) Case Study: Anil NembangAnil Nembang
 
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...IJERA Editor
 

Ähnlich wie Investigating the Performance of NoC Using Hierarchical Routing Approach (20)

A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)
 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A survey
 
Enhanced Leach Protocol
Enhanced Leach ProtocolEnhanced Leach Protocol
Enhanced Leach Protocol
 
Area-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipArea-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-Chip
 
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPAREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
 
Enhancement of Improved Balanced LEACH for Heterogeneous Wireless Sensor Netw...
Enhancement of Improved Balanced LEACH for Heterogeneous Wireless Sensor Netw...Enhancement of Improved Balanced LEACH for Heterogeneous Wireless Sensor Netw...
Enhancement of Improved Balanced LEACH for Heterogeneous Wireless Sensor Netw...
 
Performance Evaluation of LEACH Protocol for Wireless Sensor Network
Performance Evaluation of LEACH Protocol for Wireless Sensor NetworkPerformance Evaluation of LEACH Protocol for Wireless Sensor Network
Performance Evaluation of LEACH Protocol for Wireless Sensor Network
 
Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...
 
Design of fault tolerant algorithm for network on chip router using field pr...
Design of fault tolerant algorithm for network on chip router  using field pr...Design of fault tolerant algorithm for network on chip router  using field pr...
Design of fault tolerant algorithm for network on chip router using field pr...
 
Optimizing the Performance of I-mod Leach-PD Protocol in Wireless Sensor Netw...
Optimizing the Performance of I-mod Leach-PD Protocol in Wireless Sensor Netw...Optimizing the Performance of I-mod Leach-PD Protocol in Wireless Sensor Netw...
Optimizing the Performance of I-mod Leach-PD Protocol in Wireless Sensor Netw...
 
Design of a Reliable Wireless Sensor Network with Optimized Energy Efficiency...
Design of a Reliable Wireless Sensor Network with Optimized Energy Efficiency...Design of a Reliable Wireless Sensor Network with Optimized Energy Efficiency...
Design of a Reliable Wireless Sensor Network with Optimized Energy Efficiency...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Design and Implementation Of Packet Switched Network Based RKT-NoC on FPGA
Design and Implementation Of Packet Switched Network Based RKT-NoC on FPGADesign and Implementation Of Packet Switched Network Based RKT-NoC on FPGA
Design and Implementation Of Packet Switched Network Based RKT-NoC on FPGA
 
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPA ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
 
Paper id 23201411
Paper id 23201411Paper id 23201411
Paper id 23201411
 
Literature Review: Convey the Data in Massive Parallel Computing
Literature Review: Convey the Data in Massive Parallel ComputingLiterature Review: Convey the Data in Massive Parallel Computing
Literature Review: Convey the Data in Massive Parallel Computing
 
IRJET- Performance Improvement of Wireless Network using Modern Simulation Tools
IRJET- Performance Improvement of Wireless Network using Modern Simulation ToolsIRJET- Performance Improvement of Wireless Network using Modern Simulation Tools
IRJET- Performance Improvement of Wireless Network using Modern Simulation Tools
 
IJSRED-V1I1P5
IJSRED-V1I1P5IJSRED-V1I1P5
IJSRED-V1I1P5
 
OSPF (Open Shortest Path First) Case Study: Anil Nembang
OSPF (Open Shortest Path First) Case Study: Anil NembangOSPF (Open Shortest Path First) Case Study: Anil Nembang
OSPF (Open Shortest Path First) Case Study: Anil Nembang
 
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
 

KĂŒrzlich hochgeladen

VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).pptssuser5c9d4b1
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 

KĂŒrzlich hochgeladen (20)

VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 

Investigating the Performance of NoC Using Hierarchical Routing Approach

  • 1. Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81 www.ijera.com 76 | P a g e Investigating the Performance of NoC Using Hierarchical Routing Approach Bibin Lawrence R1 , Karthikeyan A2 1 M.E-VLSI Design Vel Tech Multi Tech Dr. Rangarajan Dr. Sakunthala Engineering College, Avadi, Chennai- 600 062, India 2 Department of Electronics and Communication Vel Tech Multi Tech Dr. Rangarajan Dr. Sakunthala Engineering College, Avadi, Chennai-600 062, India ABSTRACT The Network-on-Chip (NoC) model has appeared as a revolutionary methodology for incorporatingmany number of intellectual property (IP) blocks in a die. As said by the International Roadmap for Semiconductors (ITRS), it is must to scale down the device size. In order to reduce the device long interconnection should be avoided. For that, new interconnect patterns are need. Three-dimensional ICs are proficient of achieving superior performance, resistance against noise and lower interconnect power consumption compared to traditional planar ICs. In this paper, network data routed by Hierarchical methodology. We are analyzing total number of logic gates and registers, power consumption and delay when different bits of data transmitted using Quartus II software. Keywords- System-on-Chip (SoC), Network-on-Chip (NoC), Intellectual Property (IP), International Road for Semiconductors (ITRS), Integrated Circuit (IC), Hierarchical Routing, 3D-IC, Fault Tolerant, Monitoring Platform, Torus, Mesh. I. Introduction With technology improves, we have to scale down the device. For that we have to reduce the chip measures, but long interconnections are hinders the high performance of SoCs. These long wire connections are becoming a performance obstacles in terms of communication latency and power. The Network-on-Chip (NoC) model is emerging as a revolutionary methodology in solving the performance limitations due to the long interconnects. The NoC helps to build high numbers of intellectual property (IP) cores in a single SoC . However, limited floorplanning choices of the 2D integrated circuits (ICs) limit the performance enhancements of NoC architectures. 3D – IC, contains multiple layers of active devices. Multiple layers have the potential for boosting system performance [1. Because of having shorter interconnect length, 3D IC enhanced its performance even when we are scaling down the device [5]. Due to the shorter interconnect length, package density is enriched and so power is consumed [5]. The performance improvement arising from the architectural advantages of NoCs will be significantly enhanced if 3D ICs are adopted as the basic fabrication methodology. With a 3D degrees of freedom, we can achieve impossible works of 2D. This paper organized as follows. Section 2 explained about NoC technology and its design parameters, section 3 describes about proposed architecture is and finally section 4 describes work done and conclusion. II. NoC Technology Today buses are the leading technology for System –on- Chip (SoC). However, buses have relentless limitations that become obvious, if the number of components in a system large. The bus is a communication bottleneck, because it used to broadcast each transfer, thus it will consume more power. Network-on-Chip shall overcome the limitation of buses, since they provide a much large amount of communication resources and the scalable. A terminal node can be any type of component like processor, memory, hardware component, bus-based system with several components. Data packets are routed via channels and switches from source to destination. From figure.1, NoC architecture consists of Network Interfaces, Processing Elements and routers. RESEARCH ARTICLE OPEN ACCESS
  • 2. Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81 www.ijera.com 77 | P a g e Fig1. NoC Architecture 2.1 Network Interface Different terminals with different interfaces shall be connected to the network. The network uses a specific protocol and all traffic on the network has to comply to the format of this protocol. In order to allow for different resources to connect to the network, the network interface can be divided into a resource independent part (NI) and a resource dependent part (Resource NI). 2.2 OSI Layers International Standards Organization (ISO) established the Open Systems Interconnection (OSI) model to define networks. It gives an idea to organize the network components. Network-on-chip also uses the same protocol like OSI model.  Physical: It defines connector and interface specifications, as well as the medium requirements. Electrical, mechanical, functional specifications are provided for sending a bit stream on a computer network.  Data link: This filmaffords error detection and control across a single link (single hop).  Network: It gives end-to-end multi hop data communication. This layer is responsible for data packets forwarding.  Transport: Connection-oriented services over multiple links e.g., ordering of packets, error free connection. 2.3 Performance Metrices The topology of an interconnection network is specified by a set of nodes N* connected by set of channels C. Messages originate and terminate in a set of terminal nodes N, where *N N (1) Each channel ( , )c x y C  connects a source node y, where , *x y N . The channel contains number of parallel signals which is described by its width wc or wxy. The channel‟s source node is represented by sc and destination node is represented by dc.Its frequency fc or fxy is the rate at which bits are transported on each signal. Its latency tcortxy, is the time required for a bit to travel from x to y. Usually the latency is directly related to the physical length of the channel, lc = vtc by a propagation velocity v. The bandwidth of the channel is bc = Wc fc.  Path A path is an well-organizedset of channels P = {c1,c2
.cn}. The length or hop count of a path is |P|. A minimal path from node x to node y is a path with the smallest hop-count. Thecollection of least paths between x and y is denoted Rxy. The diameter Hmax is the largest least hop count over all pairs of terminal nodes. The averagesmallest hop count Hmin is defined as the average hop count of all sources and destinations. min 2 , 1 ( , ) x y N H H x y N    (2) The physical distance of the path is ( ) c c P D P l    (3) The dealy of the path is ( ) ( )t P D P v (4)  Throughput The throughput of a network is the data rate in bits per second that the network accepts per input port. The ideal throughput is defined as the throughput assuming a perfect routing and flow control. Maximum throughput occurs, when channel of the nework becomes saturated.  Latency The latency of the network is the time required for a message to traverse a network, from the time the head arrives at the input port to the time when the tail of the message departs the output port.Latency depends not only on topology, but also on routing, flow control and the design of the router. III. Related Work In this paper, we proposed new architecture and hierarchical routing algorithm used. And we calculated delay, power dissipation, total logic elements and total registers for 8 bit, and 32 bit data using Quartus II software and tabulated the results. 3.1 Hierarchical Routing This paperused new logical mechanism called as Cluster Based Hierarchical Routing (CBHR) to
  • 3. Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81 www.ijera.com 78 | P a g e improve the efficiency of NoC. This algorithmembraces the following steps [8]:  The first step is, the total network is separated by different clusters of same or different sizes.  Second step is providing algorithm for same or different clusters for routing (local or global).  The third step is change router‟s working function regarding local or global routing. From figure.2, we can understand the concept of Hierarchical routing. Fig2.CBHR Concept in NoC Architecture 3.2 Proposed Architecture Fig3. Proposed New Architecture Figure.3 shows the proposed architecture. Let us consider „S‟ be the source of the network. Each node in the network consists of four buffers. Buffer is a temporary storage device. Four buffers are taken with „n‟ length. Let n1, n2, n3, n4
are name of the buffers. Each buffer stores four bits, eight bits, sixteen bits and thirty two bit data. These „n‟ length buffers are linked to the router to handle the data or store the data. Suppose for counter 1, the buffer „n1‟ with „nth ‟ length is exceeded by the data is transfer to the buffer „n2‟. „n2‟ will receive the data until it got filled, once „n2‟ is filled, it sends the control to the „n3‟ buffer. When „n3‟ is full, it will be transferred to the buffer „n4‟. For counter 2, from source „S‟ it splits into three buffers. Since it‟s following the FIFO queuing the output data from the buffer „n1‟ in counter 1 is goes to the buffer „n1‟ in counter 2. The same steps are repeated here. For counter 3 also, the same steps follows. For counter 4, from three routers two other routers are created. In all the four counter steps, the data of the fourth buffer that is „n1‟ is the same (not changed). So we can stop using the additional „n1‟ buffer. Instead of using „n1th ‟ buffer, we can use „shared memory‟. So when „n3‟ filled by 90% atleast, the data is transferred to the shared memory. By following the above steps as an algorithm, a router can achieve less dropping values. So one can achieve greater through put in the NoC‟s since dropping value and through put have the indirect relation. Further, all the buffers connected to the router are harmonized to the clock with respect to the time along with the shared memory.We are synchronizing with shared memory to achieve smaller area and great speed in the NoC‟s. 3.3 FIFO Queue Fig4. FIFO Architecture First in First Out (FIFO) is used as buffering element or queuing element. FIFO queuing method consists of number of buffers to store data. For example, figure. 4shows that, this FIFO architecture contains 4 bit data. So there are four buffers required. The first input is fills the first row. To give next input, the last column input is shifted to the next row‟s first buffer. Likewise the other remaining rows are getting filled. Thus stores the data. Size of the FIFO is depends upon the amount of data necessary to buffer. The size of the FIFO is determined by the worst case scenario for the data transfer. For worst case scenario, difference between the data rate between write and read should be maximum. So data rate of read operation is the number of idle cycles and for read operation, there is no idle cycle. For write operation the data rate will be, Data rate = Number of data * rate of clock.
  • 4. Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81 www.ijera.com 79 | P a g e IV. Workdoneand Conclusion 4.1 8 Bit Data Output waveforms of 8 bit data shown in figure 5(a),5(b),5(c). the clock tree synthesis, timing analysis summary, total number of registers and power analysis summary are shown in figure 6,7,8. Fig 5(a).Output Waveform for 8 bit data Fig 5(b).Output Waveform for 8 bit data Fig 5(c).Output Waveform for 8 bit data 4.2 Clock Tree Synthesis Standard Clock Tree Synthesis engines are driven by timing closure and, hence, are not PVT (process/voltage/temperature) variation aware. They are used to fix setup/hold violations by adjusting the clock skew, adding, removing, and swapping buffers, or exploiting different clock wire lengths and levels and so on. As a result, the skewsensitivity with respect to PVT variations cannot be kept low, since it has several contributors originating from different physical phenomena. Fig 6.Output Waveform for Clock Tree Synthesis 4.3 Timing Analysis Fig 7.Simulated Result for Timing Analysis The timing analyzer window helps to know the worst case delay. timing analysis is the methodical analysis of a digital circuit to determine if the timing constraints imposed by components or interfaces are met. Typically, this means that trying to prove that all set-up, hold, and pulse-width times are being met. The typical worst case delay is about 6.874 ns. 4.4 Power Analysis The power dissipation of very large scale integrated (VLSI) circuits is becoming a critical concern. Accurate and efficient power estimation during the design phase is required in order to meet the power specifications without a costly redesign process. The total thermal power dissipation from the power analyzer is 70.23 mW.
  • 5. Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81 www.ijera.com 80 | P a g e Fig 8. Simulated Result for Power Analysis 4.5 Numberof Elements Fig 9. Simulated Result for Number of Registers From the Analysis and Synthesis Summary, we calculated the toal number of registers is 32 and total combination functions are 56. So that we can analyse the power and delay regarding how much registers and functions are used. 4.6 Shared Memory Architecture Fig 10. Simulated Result for Shared Memory Architecture The above figure shows that the result of shared memory architecture. For all the counter, the memory was shared to reduce area and power. The usage of buffers getting reduced because we used common buffer to store same or unrepeated data.we can use limited amount of buffers. So, we can achieve the minimum buffer sizing and power dissipation. 4.7 Comparative Table Data Delay Power Dissipati on Total Logic Gates Total Register s 8 Bit 6.874 ns 70.23mw 32 32 16 Bit 6.832 ns 72.01mw 32 25 32 Bit 6.735 ns 79.85mw 32 25 Table 1 Comparison Table for Different Bit Data V. CONCLUSION AND FUTURE WORK From the above table, we conclude that, when transferring 32-bit data has maximum power dissipation. But delay of 32-bit data is small, since delay and power dissipation have inversely proportional relation. The increased number of registers and logic elements will leads to experienced delay. The circuit or device is complex, when the number or logic gates and registers are increased. From the above comparison table, we know that, the hierarchical routing algorithm is better results than adaptive-z algorithm in terms of power, delay and number pf registers. Here, we calculated power dissipation, delay, number of logic gates and number of registers of 8-bit, 16-bit, 32-bit data using Hierarchical Routing and Adaptive Z algorithm and Compare the results by Quartus II (Model Sim) software. In future, we can give separate clocks for each node so that we can improve the data speed. Therefore we can avoid the unnecessary routing path so we can consume power. Reference [1]. “High-performance and Fault-tolerant 3D NoC-Bus Hybrid Architecture Using ARB-NET- Based Adaptive Monitoring Platform” by Amir-Mohammad Rahmani, Kameshwar Rao Vaddina, Khalid Latif, IEEE Transaction on computers, vol.63, No. 3, March 2014. [2]. “TSV Redundancy: Architecture and Design issues in 3D IC” ,April 2012 by Ang Chih Hsieh and Ting Ting Hwang [3]. L.Guang, E.Nigussie, P.Rantala, J.Isoaho “ Hierarchical Agent Monitoring Design Approach towards Self-Aware Parallel Systems-on-Chip”, ACM Trans. Embedded Computing Systems, vol 9, 2010. [4]. C.Rusu, L.Anghel and D.Avresky, “RILM: Reconfigurable Inter Layer Routing Mechanism for Three-Dimensional Multi-
  • 6. Bibin Lawrence R et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 6, ( Part -1) June 2015, pp.76-81 www.ijera.com 81 | P a g e Layer Network-on-Chip”, Proc, Int. On Line Testing Symp-2010. [5]. “Network-on-Chip in a Three- Dimensional Environment: A Performance Evaluation”, by Brett Stanley Feero and Parthapratim Pande, January 2009. [6]. D. Park, S. Eachempati, R. Das,A. Mishra, Y. Xie, N.Vijaykrishnan, and C.Das, “ MIRA: A Multi-Layered On-Chip Interconnect Router Architecture”, Proc. Int. Symp. Computer Architecture, vol 56, 2008 [7]. H. Matsutani, M. Koibuchi, and H. Amano, “ Tightly-Coupled Multi-Layer Topologies for 3D NoCs” Proc. Int‟l Conf. Parallel Processing, 2007. [8]. F. Li, C. Nicopoulos, T. Richardson, Y.Xie, V. Narayanan, and M. Kandemir, “ Design and management of Three-dimensional chip multiprocessors using Network-in- Memory”, Proc. Int‟l Symp. Computer Architecture, 2006. [9]. Three-Dimensional Integrated circuits. July/ September 2006 – A.W.Topol, D.C. La Tulipe, L. Shi, K. Bernstein, D.J.Frank. [10]. M.Li,Q.A.Zeng, and W. B. Jone, “DyXY: A Proximity Congestion-Aware Deadlock- Free Dynamic Routing Method for Network-on-Chip”, Proc. Design Automation Conf., 2006 [11]. L. Ni and P. Lilje berge, and P. Mc Kinley, “A Survey of Wormhole Routing Techniques in Direct Networks”, Computer, vol.26, Feb 1993