SlideShare ist ein Scribd-Unternehmen logo
1 von 21
LOW POWER TECHNIQUES IN NANOMETER
           TECHNOLOGY




      Name              : Rama Krishna P.
      Reg No            : CGB0911012
      Course            : M.Sc. [Engg.] in VLSI System Design
      Module            : Integrated Circuit Analysis and Design
      Module Leader     : Prof. Cyril Prasanna Raj P.



    M.S.Ramaiah School of Advanced Studies, Bangalore              1
Discussion
Why low power?
Types of power consumption
  • Dynamic power
  • Static power
Low power techniques
  • Clock gating
  • Multi vdd
  • Multiple vth
  • Power gating
Trade off
Future scope
Conclusion
References



 M.S.Ramaiah School of Advanced Studies, Bangalore   2
Why low power...?



   Desirability of portable devices.
   Advent of hand held battery operated devices.
   Large power dissipation requires larger heat sinks hence increased area.
   Cost of providing power has resulted in significant interest in power reduction
    of non portable devices.
   Lowering transistor threshold voltage.



    Pavg=Pswitching+Pshort-circuit + Pleakage
          =α0 1CL Vdd2 fclk + Vdd Isc +Ileakage Vdd



      M.S.Ramaiah School of Advanced Studies, Bangalore                               3
Types of Power Consumption



Dynamic power
During the switching of transistors
Depends on the clock frequency and switching activity
Consists of switching power and internal power.


Static Power
Transistor leakage current that flows whenever power is applied to the device
Independent of the clock frequency or switching activity.




           M.S.Ramaiah School of Advanced Studies, Bangalore                     4
Dynamic power
                                            ( Pswitching =CL Vdd2 fclk )

                                       capacitance

          Vdd                          1)    Output node capacitance of the logic gate: due to the drain
                                             diffusion region.
A                                      2)    Total   interconnects   capacitance:    has   higher   effect   as
         PMOS
                                             technology node shrinks.
                      Vout
B                        Cdrain+       3)    Input node capacitance of the driven gate: due to the gate
        NMOS     CloadCinterconnect+
                          Cinput
                                             oxide capacitance.
                                       Input voltage
                                       Internal node voltage swing can be only Vi which can be smaller than
    Fig 1:cmos inverter
                                       the full voltage swing of Vdd leading to the partial voltage swing.
                                       Frequency
                                       F increases then power automatically increases.



                            M.S.Ramaiah School of Advanced Studies, Bangalore                                     5
(Pshort-circuit =Vdd Isc )

                                                   More rise/fall timemore short circuit
Both       PMOS        and      NMOS    are
                                                   Lower threshold voltagemore short
conducting for a short duration of time                              circuit
                                                                               Vdd- |Vthp|
short between supply power and
                                                 2.5V
                                                                                             PMOS
ground
                                                                                             curve

                                                  Vout       Vthn<Vin<Vdd-|Vthp|

                                               NMOS
                                               curve

                                                   0V         Vthn
                                                                         Vin              2.5V
                                                          Fig 3:Trance analysis of cmos
         Fig 2:shorte circuit

                    To get equal rise/fall  balance transistor sizing
                                                                     Vdd<Vthn+|Vthp|

                     M.S.Ramaiah School of Advanced Studies, Bangalore                               6
Static power
                             (Pleakage =Ileakage Vdd)

1). Diode reverse bias–I1                                  2). Sub threshold current – I2
                                                      Vgs <~ Vth carrier diffusion causes sub
                                                      threshold leakage.


                                                      Vgs <=0  accumulation mode.
                                                      0< Vgs << Vth depletion mode.
                                                      Vgs ~ Vth  weak inversion.
                                                      Vgs > Vth  Inversion.
       Fig 4:Diode reverse bias
3). Gate induced drain leakage – I3                        4).Gate oxide tunneling – I4
Higher supply voltage.                                          high electric field across a thin

thinner oxide.                                                 gate oxide.
                                                                Direct tunneling through the
increase in Vdb and Vdg.
                                                                silicon oxide layer if it is less than
                                                                3–4 nm thick.
                                  Fig 5:leakage currents

                    M.S.Ramaiah School of Advanced Studies, Bangalore                                    7
Low power design techniques




      Table 1:low power design techniques
M.S.Ramaiah School of Advanced Studies, Bangalore   8
Clock gating

× Clock tree consume more than 50 % of dynamic power
 Used for synchronous circuits
 Clock gating works by taking the enable conditions attached to registers, and uses them to
       gate the clocks.
 Save significant die area as well as power.
 Clock gating logic is generally in the form of "integrated clock gating" (ICG) cells.


Logic added into the design


 Coded into the RTL code as enable conditions--clock gating logic (fine grain clock gating).
Inserted into the design manually by the RTL designers (typically as module level clock gating)
Semi-automatically inserted into the RTL by (automated clock gating tools)




                   M.S.Ramaiah School of Advanced Studies, Bangalore                             9
Two types of clock gating styles


Latch-based clock gating                                             Latch-free clock

                                                   En                            D        Q
                                                           D Q


                                                            CK           Gated       CK
                                                                         clock
                                                  clk

 Fig 6:Latch-based clock gating                          Fig 6:Latch-free clock gating

Uses a simple AND or OR gate                           Level-sensitive latch
Glitches are inevitable                                Less glitch
Less used                                              Easy adoption by EDA tools


                  M.S.Ramaiah School of Advanced Studies, Bangalore                           10
Multi Vdd (Voltage)


     SVS                             DVFS                            AVS




Different but fixed    Voltage as well as    When high
voltage is applied to   frequency is           speed of        Voltage areas
different blocks or     dynamically varied     operation is   with variable VDD.
subsystems of the SoC   as per the different   required       Voltage is
design.                 working modes of       voltage is     controlled using a
                        the design             increased      control loop.

              M.S.Ramaiah School of Advanced Studies, Bangalore                    11
Multiple threshold


Use Hvt and Lvt cells                                 Vdd

                                        standby              High Prevents leakage
    Called as “sleep transistor”                             Vt in standby mode


            Extensively used in                  CMOS Logic            High speed
               Power gating                       Low/Nom Vt             operation



                                        standby              High Prevents leakage
                                                              Vt in standby mode



                                                   Fig 6:Sleep transistor



                  M.S.Ramaiah School of Advanced Studies, Bangalore                  12
Variable threshold

 Vdd                                          Vdd
                                                       Vbias1
                     General
                                                                   variable substrate
                     design:
                                                                   bias voltage from a
                   substrate is
                                                                   control circuitry to
                  tied to power
                                                        Vbias2       vary threshold
                    or ground
                                                                         voltage

                            Fig 7:substrate bias voltage in cmos
Pros
Considerable power reduction
Negligible area overhead
Cons
Requires either twin well or triple well technology to achieve different substrate bias
voltage levels at different parts of the IC


                M.S.Ramaiah School of Advanced Studies, Bangalore                         13
Power gating

            Circuit blocks that are not in use are temporarily turned off
            Affects design architecture more compared to the clock gating
            It increases time delays as power gated modes have to be safely entered and exited


                                                               CMOS
                      High Vt PMOS                              logic
Power switching       Header switch
 control signal                              Power switching
                                              control signal       High Vt NMOS
                                                                   Footer switch
                  CMOS
                   logic




    A power switch (header or footer) is
         added to supply rails to
             shut-down logic
          (MTCMOS switches)
                                                          Fig 8:power gating by sleep transistor

                           M.S.Ramaiah School of Advanced Studies, Bangalore                       14
Fine-grain power gating                             Coarse-grain power gating




    Fig 9:Fine grain power gating                      Fig 10:Coarse grain power gating

 Add a sleep transistor to every cell
                                                 Less sensitive to PVT variation
 Switching transistor as a part of the          Introduces less IR-drop variation
standard cell logic                              Imposes a smaller area overhead

 ~10X leakage reduction
                                                    Ring based methodology
                                                    Column based methodology
                      M.S.Ramaiah School of Advanced Studies, Bangalore                   15
Fig 11:switces for reducing the power

Low-power design requires new cells with multiple power pins
  Additional modeling information in “.lib” is required to
             automatically handle these cells




        M.S.Ramaiah School of Advanced Studies, Bangalore      16
Trade –off for low power techniques




       Table 2:trade-off analysis of power reducing techniques

 M.S.Ramaiah School of Advanced Studies, Bangalore               17
Future low power strategy…?


Asynchronous Design - Solution to Dynamic Power?
     ›Let’s get rid of the clock
     ›Micro pipeline: A Simple Asynchronous Design Methodology
Is Hi-k sufficient for 22nm and 16nm?
     Whether this type of transistor structure (hi-k, metal gate) will continue to scale to the
     next two generations—22 nm and 16 nm—is a question for the future.
Is there a simple, coherent power strategy that unifies the best of DVFS, power gating,
asynchronous ?
How do we represent and verify very complex power intent such as asynchronous ?
Carbon nano tubes…….?
Spintronics…..?




                   M.S.Ramaiah School of Advanced Studies, Bangalore                              18
conclusion




           Power is becoming the restraining factor in further miniaturization and
scaling. Various methodologies available but still a lot of scope for improvement.
Need for developing of infrastructure. Combining of        discrete power saving
techniques into a single integrated system.




           M.S.Ramaiah School of Advanced Studies, Bangalore                         19
References



[1] Keshava Murali, “Low power techniques”, SNUG 2007 and 2008
   presentations on low power, Retrieved on 17 oct 2011.
[2] Jan.M.Rabey and Massoud Pedram Kluwer academic publishers, “low
   power design methodologies”, Retrieved on 17 oct 2011.




           M.S.Ramaiah School of Advanced Studies, Bangalore          20
Thank you…….




  M.S.Ramaiah School of Advanced Studies, Bangalore   21

Weitere ähnliche Inhalte

Was ist angesagt?

Double Patterning (4/2 update)
Double Patterning (4/2 update)Double Patterning (4/2 update)
Double Patterning (4/2 update)
Danny Luk
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and Verification
DVClub
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
Subash John
 

Was ist angesagt? (20)

Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
Advanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip DesignAdvanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip Design
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Double Patterning (4/2 update)
Double Patterning (4/2 update)Double Patterning (4/2 update)
Double Patterning (4/2 update)
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and Verification
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology Trends
 
Digital VLSI Design : Combinational Circuit
Digital VLSI Design : Combinational CircuitDigital VLSI Design : Combinational Circuit
Digital VLSI Design : Combinational Circuit
 
Power
PowerPower
Power
 
Unit_1_L1_LPVLSI.ppt
Unit_1_L1_LPVLSI.pptUnit_1_L1_LPVLSI.ppt
Unit_1_L1_LPVLSI.ppt
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical design
 
bandgap ppt
bandgap pptbandgap ppt
bandgap ppt
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 

Ähnlich wie 12 low power techniques

vlsi 2 unit.pdfvlsi unit 2 important notes for ece department
vlsi 2 unit.pdfvlsi unit 2 important notes for ece departmentvlsi 2 unit.pdfvlsi unit 2 important notes for ece department
vlsi 2 unit.pdfvlsi unit 2 important notes for ece department
nitcse
 

Ähnlich wie 12 low power techniques (20)

Ar4301228233
Ar4301228233Ar4301228233
Ar4301228233
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
 
Power consumption
Power consumptionPower consumption
Power consumption
 
Ds32738741
Ds32738741Ds32738741
Ds32738741
 
IRJET- Implementation of Combined SVL Technique in Domino Inverter using Micr...
IRJET- Implementation of Combined SVL Technique in Domino Inverter using Micr...IRJET- Implementation of Combined SVL Technique in Domino Inverter using Micr...
IRJET- Implementation of Combined SVL Technique in Domino Inverter using Micr...
 
Jh2416211626
Jh2416211626Jh2416211626
Jh2416211626
 
G43064245
G43064245G43064245
G43064245
 
Bg26386390
Bg26386390Bg26386390
Bg26386390
 
38
3838
38
 
A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino Logic
A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino LogicA New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino Logic
A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino Logic
 
8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf
8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf
8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf
 
vlsi 2 unit.pdfvlsi unit 2 important notes for ece department
vlsi 2 unit.pdfvlsi unit 2 important notes for ece departmentvlsi 2 unit.pdfvlsi unit 2 important notes for ece department
vlsi 2 unit.pdfvlsi unit 2 important notes for ece department
 
IRJET- Comparison of Power Dissipation in Inverter using SVL Techniques
IRJET- Comparison of Power Dissipation in Inverter using SVL TechniquesIRJET- Comparison of Power Dissipation in Inverter using SVL Techniques
IRJET- Comparison of Power Dissipation in Inverter using SVL Techniques
 
lecture_10.ppt
lecture_10.pptlecture_10.ppt
lecture_10.ppt
 
powerelectronics-switch.pptx for Engineering students
powerelectronics-switch.pptx for Engineering studentspowerelectronics-switch.pptx for Engineering students
powerelectronics-switch.pptx for Engineering students
 
Thyristor
Thyristor Thyristor
Thyristor
 
bicmos.pdf
bicmos.pdfbicmos.pdf
bicmos.pdf
 
cmos.ppt
cmos.pptcmos.ppt
cmos.ppt
 
SCR NEW FOR TOMMOROW.pdf
SCR  NEW FOR TOMMOROW.pdfSCR  NEW FOR TOMMOROW.pdf
SCR NEW FOR TOMMOROW.pdf
 
DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...
DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...
DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...
 

Kürzlich hochgeladen

The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
heathfieldcps1
 

Kürzlich hochgeladen (20)

FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024
 
21st_Century_Skills_Framework_Final_Presentation_2.pptx
21st_Century_Skills_Framework_Final_Presentation_2.pptx21st_Century_Skills_Framework_Final_Presentation_2.pptx
21st_Century_Skills_Framework_Final_Presentation_2.pptx
 
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
 
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptxCOMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
 
latest AZ-104 Exam Questions and Answers
latest AZ-104 Exam Questions and Answerslatest AZ-104 Exam Questions and Answers
latest AZ-104 Exam Questions and Answers
 
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
 
Basic Intentional Injuries Health Education
Basic Intentional Injuries Health EducationBasic Intentional Injuries Health Education
Basic Intentional Injuries Health Education
 
Fostering Friendships - Enhancing Social Bonds in the Classroom
Fostering Friendships - Enhancing Social Bonds  in the ClassroomFostering Friendships - Enhancing Social Bonds  in the Classroom
Fostering Friendships - Enhancing Social Bonds in the Classroom
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
Plant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptxPlant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptx
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - English
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
OSCM Unit 2_Operations Processes & Systems
OSCM Unit 2_Operations Processes & SystemsOSCM Unit 2_Operations Processes & Systems
OSCM Unit 2_Operations Processes & Systems
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
 

12 low power techniques

  • 1. LOW POWER TECHNIQUES IN NANOMETER TECHNOLOGY Name : Rama Krishna P. Reg No : CGB0911012 Course : M.Sc. [Engg.] in VLSI System Design Module : Integrated Circuit Analysis and Design Module Leader : Prof. Cyril Prasanna Raj P. M.S.Ramaiah School of Advanced Studies, Bangalore 1
  • 2. Discussion Why low power? Types of power consumption • Dynamic power • Static power Low power techniques • Clock gating • Multi vdd • Multiple vth • Power gating Trade off Future scope Conclusion References M.S.Ramaiah School of Advanced Studies, Bangalore 2
  • 3. Why low power...?  Desirability of portable devices.  Advent of hand held battery operated devices.  Large power dissipation requires larger heat sinks hence increased area.  Cost of providing power has resulted in significant interest in power reduction of non portable devices.  Lowering transistor threshold voltage. Pavg=Pswitching+Pshort-circuit + Pleakage =α0 1CL Vdd2 fclk + Vdd Isc +Ileakage Vdd M.S.Ramaiah School of Advanced Studies, Bangalore 3
  • 4. Types of Power Consumption Dynamic power During the switching of transistors Depends on the clock frequency and switching activity Consists of switching power and internal power. Static Power Transistor leakage current that flows whenever power is applied to the device Independent of the clock frequency or switching activity. M.S.Ramaiah School of Advanced Studies, Bangalore 4
  • 5. Dynamic power ( Pswitching =CL Vdd2 fclk ) capacitance Vdd 1) Output node capacitance of the logic gate: due to the drain diffusion region. A 2) Total interconnects capacitance: has higher effect as PMOS technology node shrinks. Vout B Cdrain+ 3) Input node capacitance of the driven gate: due to the gate NMOS CloadCinterconnect+ Cinput oxide capacitance. Input voltage Internal node voltage swing can be only Vi which can be smaller than Fig 1:cmos inverter the full voltage swing of Vdd leading to the partial voltage swing. Frequency F increases then power automatically increases. M.S.Ramaiah School of Advanced Studies, Bangalore 5
  • 6. (Pshort-circuit =Vdd Isc ) More rise/fall timemore short circuit Both PMOS and NMOS are Lower threshold voltagemore short conducting for a short duration of time circuit Vdd- |Vthp| short between supply power and 2.5V PMOS ground curve Vout Vthn<Vin<Vdd-|Vthp| NMOS curve 0V Vthn Vin 2.5V Fig 3:Trance analysis of cmos Fig 2:shorte circuit To get equal rise/fall  balance transistor sizing Vdd<Vthn+|Vthp| M.S.Ramaiah School of Advanced Studies, Bangalore 6
  • 7. Static power (Pleakage =Ileakage Vdd) 1). Diode reverse bias–I1 2). Sub threshold current – I2 Vgs <~ Vth carrier diffusion causes sub threshold leakage. Vgs <=0  accumulation mode. 0< Vgs << Vth depletion mode. Vgs ~ Vth  weak inversion. Vgs > Vth  Inversion. Fig 4:Diode reverse bias 3). Gate induced drain leakage – I3 4).Gate oxide tunneling – I4 Higher supply voltage. high electric field across a thin thinner oxide. gate oxide. Direct tunneling through the increase in Vdb and Vdg. silicon oxide layer if it is less than 3–4 nm thick. Fig 5:leakage currents M.S.Ramaiah School of Advanced Studies, Bangalore 7
  • 8. Low power design techniques Table 1:low power design techniques M.S.Ramaiah School of Advanced Studies, Bangalore 8
  • 9. Clock gating × Clock tree consume more than 50 % of dynamic power  Used for synchronous circuits  Clock gating works by taking the enable conditions attached to registers, and uses them to gate the clocks.  Save significant die area as well as power.  Clock gating logic is generally in the form of "integrated clock gating" (ICG) cells. Logic added into the design  Coded into the RTL code as enable conditions--clock gating logic (fine grain clock gating). Inserted into the design manually by the RTL designers (typically as module level clock gating) Semi-automatically inserted into the RTL by (automated clock gating tools) M.S.Ramaiah School of Advanced Studies, Bangalore 9
  • 10. Two types of clock gating styles Latch-based clock gating Latch-free clock En D Q D Q CK Gated CK clock clk Fig 6:Latch-based clock gating Fig 6:Latch-free clock gating Uses a simple AND or OR gate Level-sensitive latch Glitches are inevitable Less glitch Less used Easy adoption by EDA tools M.S.Ramaiah School of Advanced Studies, Bangalore 10
  • 11. Multi Vdd (Voltage) SVS DVFS AVS Different but fixed Voltage as well as When high voltage is applied to frequency is speed of  Voltage areas different blocks or dynamically varied operation is with variable VDD. subsystems of the SoC as per the different required Voltage is design. working modes of voltage is controlled using a the design increased control loop. M.S.Ramaiah School of Advanced Studies, Bangalore 11
  • 12. Multiple threshold Use Hvt and Lvt cells Vdd standby High Prevents leakage Called as “sleep transistor” Vt in standby mode Extensively used in CMOS Logic High speed Power gating Low/Nom Vt operation standby High Prevents leakage Vt in standby mode Fig 6:Sleep transistor M.S.Ramaiah School of Advanced Studies, Bangalore 12
  • 13. Variable threshold Vdd Vdd Vbias1 General variable substrate design: bias voltage from a substrate is control circuitry to tied to power Vbias2 vary threshold or ground voltage Fig 7:substrate bias voltage in cmos Pros Considerable power reduction Negligible area overhead Cons Requires either twin well or triple well technology to achieve different substrate bias voltage levels at different parts of the IC M.S.Ramaiah School of Advanced Studies, Bangalore 13
  • 14. Power gating Circuit blocks that are not in use are temporarily turned off Affects design architecture more compared to the clock gating It increases time delays as power gated modes have to be safely entered and exited CMOS High Vt PMOS logic Power switching Header switch control signal Power switching control signal High Vt NMOS Footer switch CMOS logic A power switch (header or footer) is added to supply rails to shut-down logic (MTCMOS switches) Fig 8:power gating by sleep transistor M.S.Ramaiah School of Advanced Studies, Bangalore 14
  • 15. Fine-grain power gating Coarse-grain power gating Fig 9:Fine grain power gating Fig 10:Coarse grain power gating  Add a sleep transistor to every cell  Less sensitive to PVT variation  Switching transistor as a part of the  Introduces less IR-drop variation standard cell logic  Imposes a smaller area overhead  ~10X leakage reduction  Ring based methodology  Column based methodology M.S.Ramaiah School of Advanced Studies, Bangalore 15
  • 16. Fig 11:switces for reducing the power Low-power design requires new cells with multiple power pins Additional modeling information in “.lib” is required to automatically handle these cells M.S.Ramaiah School of Advanced Studies, Bangalore 16
  • 17. Trade –off for low power techniques Table 2:trade-off analysis of power reducing techniques M.S.Ramaiah School of Advanced Studies, Bangalore 17
  • 18. Future low power strategy…? Asynchronous Design - Solution to Dynamic Power? ›Let’s get rid of the clock ›Micro pipeline: A Simple Asynchronous Design Methodology Is Hi-k sufficient for 22nm and 16nm? Whether this type of transistor structure (hi-k, metal gate) will continue to scale to the next two generations—22 nm and 16 nm—is a question for the future. Is there a simple, coherent power strategy that unifies the best of DVFS, power gating, asynchronous ? How do we represent and verify very complex power intent such as asynchronous ? Carbon nano tubes…….? Spintronics…..? M.S.Ramaiah School of Advanced Studies, Bangalore 18
  • 19. conclusion Power is becoming the restraining factor in further miniaturization and scaling. Various methodologies available but still a lot of scope for improvement. Need for developing of infrastructure. Combining of discrete power saving techniques into a single integrated system. M.S.Ramaiah School of Advanced Studies, Bangalore 19
  • 20. References [1] Keshava Murali, “Low power techniques”, SNUG 2007 and 2008 presentations on low power, Retrieved on 17 oct 2011. [2] Jan.M.Rabey and Massoud Pedram Kluwer academic publishers, “low power design methodologies”, Retrieved on 17 oct 2011. M.S.Ramaiah School of Advanced Studies, Bangalore 20
  • 21. Thank you……. M.S.Ramaiah School of Advanced Studies, Bangalore 21