SlideShare ist ein Scribd-Unternehmen logo
1 von 7
Downloaden Sie, um offline zu lesen
T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha,
              RAJASEKHAR / International Journal of Engineering Research and Applications
                           (IJERA) ISSN: 2248-9622 www.ijera.com
                            Vol. 2, Issue 4, July-August 2012, pp.027-033

          A low noise and low power 3-GHz 64~127 Multi-Modulus
       Frequency Divider implementation using  BiCMOS technology

      1.T.B.K MANOJ KUMAR 2.S.ADILAKSHMI 3. Pradeep Kumar Dixena 4.
                    Dr. Fazal Noorbasha 5. RAJASEKHAR

     ABSTRACT
              This paper presents implementation of a             integration. To date, the highest operating frequencies
     high speed, low noise and low power frequency                have been achieved with bipolar and III–V technologies
     divider based on current mode logic and cascade              though their power consumptions are high. Compared
     connection of divide-by-2/3 cells for high division          to the bipolar and III–V dividers, CMOS dividers
     range. The proposed divider is optimized for high            usually operate at lower frequencies. To increase the
     frequency and low power operation. Integration of            operating frequency at a given power consumption,
     the proposed divider in a frequency synthesizer is an        several techniques are used, such as injection locking,
     attractive option for the ultra-low power carrier            dynamic circuit, and improved Miller dividers.
     signal generation in coherent wired and wireless             Compared with them, a static divider has a much wider
     communication systems.                                       operating range and moderate operating frequency and
     A prototype has been implemented in 0.24μm SiGe-             power consumption. CMOS static frequency dividers
     BiCMOS technology. The operating frequency is 3              operating around 20 GHz have recently been reported,
     GHz; the divide ratio is 64/127 with step of 1 with          but the power consumption is too high. In this paper, by
     2.5V power supply and current consumption is                 optimizing the transistors size, a power efficient 64:127
     1.5mA occupying 0.06mm2 chip areas. The modulus              CMOS static frequency divider is presented. The power
     may be integer or fractional.                                consumption of the first 2:3 stage is less than 15% of
                                                                  other bulk CMOS static frequency dividers at the same
     Keywords – Frequency Divider, Multi-Modulus,                 frequency. The tradeoff between the speed and power
     Prescaler, Phase Switching, 2/3 Cell, Frequency              consumption is discussed in detail.
     Synthesizers, Wireless Transceivers, BiCMOS,
     Current Mode Logic.                                          1.BiCMOS Process Technology
                                                                            BiCMOS technology is a combination of
I.       INTRODUCTION                                             Bipolar and CMOS technology. CMOS technology
              Frequency dividers are critical components          offers less power dissipation, smaller noise margins,
     for frequency translation and channel selection in           and higher packing density. Bipolar technology, on the
     wireless transceivers used in frequency synthesizers.        other hand, ensures high switching and I/O speed and
     Divider design is a challenging task due to the stringent    good noise performance. It follows that BiCMOS
     requirements imposed by RF systems. Multi-modulus            technology accomplishes both improved speed over
     frequency divider plays an important role in frequency       CMOS and lower power dissipation than bipolar
     synthesizers for wireless communications. It operates at     technology. The main drawback of BiCMOS
     the highest frequency and must be controllable over a        technology is the higher costs due to the added process
     wider range in order to synthesize the desired output        complexity. Impurity profiles have to be optimized to
     frequencies, which is narrow channel spaced. HIGH-           both NPN and CMOS issues. This greater process
     SPEED frequency dividers are critical in a variety of        complexity results in a cost increase compared to
     applications from frequency syntheses in wireless            conventional CMOS technology.
     communications       to    broadband     optical     fiber   The primary approach to realize high performance
     communication systems. These applications require            BiCMOS devices is the addition of bipolar process
     high speed, low power, high sensitivity, and monolithic      steps to a baseline CMOS process




                                                                                                            27 | P a g e
T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha,
        RAJASEKHAR / International Journal of Engineering Research and Applications
                     (IJERA) ISSN: 2248-9622 www.ijera.com
                      Vol. 2, Issue 4, July-August 2012, pp.027-033

2. Latch implemented by CML logic




                                       FIGURE 1: Current-Mode D-Latch.


The conventional CML Flip-Flop of Figure 4.4 works        So if change Vo is small, the latch time can be larger
similar to a latched comparator with the positive         than the allowed time to latch (half the clock period)
feedback supplementing the gain of the differential       causing metastability. Further, low voltage swings
pair. The latch mode time constant in the positive        are more susceptible to noise and mismatch.
feedback phase has been derived using a linearized        Although not very critical in the case of frequency
model in The result derived indicates that the            dividers, this would be relevant for the design of
transient response of the latch is represented by the     oscillator delay stages. The upper bound on voltage
solution                                                  swings (Vsw) is established by biasing conditions of
                                                          differential pair transistors. When one differential
                                                          delay stage drives a similar stage, then the differential
                                                          pair transistor with a high input voltage requires a
                                                          large enough VDS to remain in saturation

.


3. Divide-by-64~127 Divider




                                                                                                    28 | P a g e
T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha,
         RAJASEKHAR / International Journal of Engineering Research and Applications
                      (IJERA) ISSN: 2248-9622 www.ijera.com
                       Vol. 2, Issue 4, July-August 2012, pp.027-033




                     Fig.5.4. Circuit diagram of the divide-by-64~127 frequency divider

          The divide-by-64~127 frequency divider is implemented in cascade connection of divide-by-2/3 cells
architecture [5]. The circuit diagram of the divide-by-64~127 frequency divider is shown in Fig.5. It is constructed
using cascade connection of six divide-by-2/3 cells. Each cell contains one control bit in which the division ratio can
be selected. The detail implementation of the divide-by-2/3 cell is also shown in Fig.5. When the control bit P is set
to high, the division ratio is three, and the division ratio becomes two when the control bit P is set to low. Thus, the
overall division ratio is continuingly programmable ranged from 64 to127. Since the input frequency of the divide-
by-64~127 divider has been scaled down by 4/5, in order to lower the power consumption, the D-Flip-Flops are
implemented in true single phase clock D-Flip-Flop (TSPC), and the control logics are implemented in CMOS logic.
The divide-by-64~127 divider require rail-to-rail input signal. Thus, a differential to single end buffer is used the
differential       output          of         F4/5          to       rail-to-rail      CMOS             Logic         le
          vel.




                                                                                                         29 | P a g e
T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha,
        RAJASEKHAR / International Journal of Engineering Research and Applications
                     (IJERA) ISSN: 2248-9622 www.ijera.com
                      Vol. 2, Issue 4, July-August 2012, pp.027-033




4.Phase noise reduction in divider                        constituting a PLL. We choose the frequency dividers
         Low power consumption and high                   (FD) as the focus of this work. The phase noise
performance are often contradictory requirements and      generated by a FD affects the synthesizer noise
this constitutes a design tradeoff. In particular,        performance within the PLL band, especially if a
achieving a low phase noise in the phase locked loop      high division factor is used. Additionally, the digital
(PLL) of frequency synthesizers is one of the most        FD is in general responsible for a significant portion
stringent requirements. Normally, the current trend       of the total power consumption of the PLL. However,
towards lower power consumption degrades phase            a decrease in the power consumption of the divider
noise performance. Therefore, we need to define an        degrades its phase noise performance. Therefore, we
appropriate way of optimizing towards low power           need, first, to identify the fundamental tradeoff
consumption without sacrificing the phase noise           between noise and power consumption in this
performance of the PLL. To comprehend in depth            particular block and, second, to have a robust and
this design trade-off, one should identify the internal   reliable way of simulating phase noise of “sample
phase noise mechanisms intrinsic to each block            and hold” based circuits such as a digital FD.




                                                                                                  30 | P a g e
T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha,
    RAJASEKHAR / International Journal of Engineering Research and Applications
                 (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 4, July-August 2012, pp.027-033




   Fig: phase noise simulation of Fdimplemented using bicmos technology




   Fig: phase noise simulation of Fdimplemented using cmos technology




                                                                          31 | P a g e
T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha,
        RAJASEKHAR / International Journal of Engineering Research and Applications
                     (IJERA) ISSN: 2248-9622 www.ijera.com
                      Vol. 2, Issue 4, July-August 2012, pp.027-033

PERFORMANCE SUMMARY OF THE MULTI-MODULUS FREQUENCY DIVIDER
Parameters                        Symbol     performance

Technology                        -          0.24um          Bicmos    0.24um cmos technology
                                             technology

Division Ratio                    -          2~3                       2~3

Supply Voltagr                    vdd        2.5v                      2.5v

Supply Current                    idd        1.5mA                     2mA

Power consumption                 -          3.824mw                   4.6mw

Maximum Operating Frequency       Fin MAX    3GHZ                      3GHZ

Phase Noise@1kHz                  Pn1khz     -140.5dBc/Hz              -123.4 dBc/Hz

Phase Noise@10kHz                 Pn10khz    -140.6dBc/Hz              -132.7 dBc/Hz

Phase Noise@1MHz                  Pn1Mhz     140.6dBc/Hz               -138.5 dBc/Hz


 TABLE 1 COMPARISION OF CMOS AND BICMOS PROPERTIES

CONCLUSION:                                                 Bibilography:
          A 3GHz multi-modulus frequency divider
based on current mode logic has been demonstrated           [l]   B. Razavi, Y. Ota, and R. G. Swartz, “Design
in 0.24μm SiGe BiCMOS technology. It is optimized                 techniques for lowvoltage high-speed digital
for high frequency and low power operation both on                 bipolar circuits” IEEE J. Solid-State Circuits,
architecture level and circuits implementations. The              vol. 29, pp. 332-339, March 1994      527.
division ratio is ranged from 64 to 127 continually         [2]   J.-O Plouchart et al., “Performance variations
with step of 1, with the current consumption of                   of a 66GHz static CML divider in 90nm
1.5mA from 2.5V supply voltage. the dedicated                      CMOS,” in IEEE International Solid-State
design approach and the BiCMOS SiGe process                       Circuits Conference, 2006, pp. 526–527.
capabilities led to a good trade-off between                [3]   C. S. Vaucher, I. Ferencic, M. Locher, S.
maximum input frequency, input sensitivity, noise                 Sedvallson, U. Voegeli, and Z. Wang, "A
floor, power consumption, division ratios and die size            family of low-power truly modular
compared with the current available high-frequency                programmable dividers in standard 0.35-μm
dividers.                                                         CMOS technology," IEEE Journal of Solid-
                                                                  State Circuits, vol. 35, pp. 1039 - 1045, July
                                                                  2000.
                                                            [4]   H. Knapp et al., “2GHz/2mW and
                                                                  12GHd30mW dual-modulus prescalers in
                                                                  silicon     bipolar    technology,”in     Proc.
                                                                  Bipolar/BiCMOS Circuits and Technology
                                                                  Meeting, 2000,pp. 164- 167.
                                                            [5]   J.-O Plouchart et al., “A 33 GHz 2:1 static
                                                                  frequency divider in 0.12-um SOI CMOS,” in
                                                                  IEEE Radio Frequency Integrated Circuit
                                                                  Conference,2003, pp. 329–332.


                                                                                                   32 | P a g e
T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha,
    RAJASEKHAR / International Journal of Engineering Research and Applications
                 (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 4, July-August 2012, pp.027-033


          T.B.K MANOJ KUMAR received the B.Tech. degree in Electronics &
          Communications Engineering from JNTU KAKINADA in 2010 and
          pursuing M.Tech (VLSI) in K L University. . His research interests include
          Digital VLSI Design and and low power vlsi.


         Ms.S.Adilakshmi received her B.Tech degree in Electronics & Communications
         Engineering from RVR&JC College of Engineering which is affiliated to Acharya
         Nagarjuna University in 2005, AP, and India. M.Tech degree in VLSI Design in TKR
         College of Engineering & Technology which is affiliated to JNTU Hyderabad in 2011.
         Presently she is working as Assistant Professor, Department of ECE in K.L.University,
         Guntur, AP, and India. She research intrest in development of Low-Power VLSI, DSP, and
         Embedded Systems .

         Pradeep Kumar Dixena received the B.E. degree from the Raipur Institute of
         Technology, Raipur, in electronics and electrical communication engineering in 2005 and
         the M.E. degrees in Microelectronics Systems from the Indian Institute of Science at
         Bangalore,2011. Since 2006, he has been working as Scientist at Advanced Numerical
         Research and Analysis Group (ANURAG) , DRDO (Defence Research & Development
         Organization), Hyderabad. His current research interests include the analysis and
         integrated-circuit development of Sensor interface ASIC and frequency synthesizers

           Dr.Fazal Noorbasha was born on 29th April 1982. He received the B.Sc. degree in
           Electronics Science from BCAS College, Bapatla, Guntur, A.P., Affiliated to the
           Acharya Nagarjuna University, Guntur, A.P., India, in 2003, M.Sc. degree in
           Electronics from the Dr. HariSingh Gour Central University, Sagar, M.P., India, in
           2006, M.Tech. Degree in VLSI technology, with specialization in Embedded
           systems from the North Maharashtra University, Jalgaon, M.S., INDIA in 2008 And
           Ph.D. from Department Of Physics and Electronics, Dr. HariSingh Gour Central
           University, Sagar, M.P., India, in 2011. Presently he is Assistant Professor,
           Department of ECE, KL University, where he has been engaged in the research and
           development of low-power, high-speed CMOS VLSI technology,
           Memory Processors LSI’s, Digital Image Processing, Embedded Systems and
           Nanotechnology. He has published over 16 technical papers in international and
           National reputed journals and conferences.
           KONARI.RAJASEKHAR received the B.Tech. degree in Electronics &
           Communications Engineering from JNTU KAKINADA in 2010 and pursuing M.Tech
           (VLSI) in K L University. . His research interests include Digital VLSI Design and low
           power vlsi.



                                                                             33 | P a g e

Weitere ähnliche Inhalte

Was ist angesagt?

OPTICAL FIBER COMMUNICATION
OPTICAL FIBER COMMUNICATIONOPTICAL FIBER COMMUNICATION
OPTICAL FIBER COMMUNICATION
ubhatia7
 
Study on performance of capacitor less ldo with different types of resistor
Study on performance of capacitor less ldo with different types of resistorStudy on performance of capacitor less ldo with different types of resistor
Study on performance of capacitor less ldo with different types of resistor
Conference Papers
 
High Performance Data Bus Encoding Technique in DSM Technology
High Performance Data Bus Encoding Technique in DSM TechnologyHigh Performance Data Bus Encoding Technique in DSM Technology
High Performance Data Bus Encoding Technique in DSM Technology
IDES Editor
 
Simulation and detection of transients on a 150kV HV Cable-paper
Simulation and detection of transients on a 150kV HV Cable-paperSimulation and detection of transients on a 150kV HV Cable-paper
Simulation and detection of transients on a 150kV HV Cable-paper
Thomas Mathew
 
Transmission media and communication protocols
Transmission media and communication protocolsTransmission media and communication protocols
Transmission media and communication protocols
Richard M Pradeep
 

Was ist angesagt? (19)

Topic 7 Digital Technique Fiber optics
Topic 7 Digital Technique Fiber opticsTopic 7 Digital Technique Fiber optics
Topic 7 Digital Technique Fiber optics
 
OPTICAL FIBER COMMUNICATION
OPTICAL FIBER COMMUNICATIONOPTICAL FIBER COMMUNICATION
OPTICAL FIBER COMMUNICATION
 
STUDY OF ABSORPTION IN CARBON NANOTUBE COMPOSITES FROM 1HZ TO 40GHZ
STUDY OF ABSORPTION IN CARBON NANOTUBE COMPOSITES FROM 1HZ TO 40GHZSTUDY OF ABSORPTION IN CARBON NANOTUBE COMPOSITES FROM 1HZ TO 40GHZ
STUDY OF ABSORPTION IN CARBON NANOTUBE COMPOSITES FROM 1HZ TO 40GHZ
 
Study on performance of capacitor less ldo with different types of resistor
Study on performance of capacitor less ldo with different types of resistorStudy on performance of capacitor less ldo with different types of resistor
Study on performance of capacitor less ldo with different types of resistor
 
REVIEW PAPER ON NEW TECHNOLOGY BASED NANOSCALE TRANSISTOR
REVIEW PAPER ON NEW TECHNOLOGY BASED NANOSCALE TRANSISTORREVIEW PAPER ON NEW TECHNOLOGY BASED NANOSCALE TRANSISTOR
REVIEW PAPER ON NEW TECHNOLOGY BASED NANOSCALE TRANSISTOR
 
Fiber Optics Course
Fiber Optics Course Fiber Optics Course
Fiber Optics Course
 
IRJET- A Review on Microstrip Filter for the Application in Communication System
IRJET- A Review on Microstrip Filter for the Application in Communication SystemIRJET- A Review on Microstrip Filter for the Application in Communication System
IRJET- A Review on Microstrip Filter for the Application in Communication System
 
Design and Implementation of Re-configurable Antenna
Design and Implementation of Re-configurable AntennaDesign and Implementation of Re-configurable Antenna
Design and Implementation of Re-configurable Antenna
 
High Performance Data Bus Encoding Technique in DSM Technology
High Performance Data Bus Encoding Technique in DSM TechnologyHigh Performance Data Bus Encoding Technique in DSM Technology
High Performance Data Bus Encoding Technique in DSM Technology
 
Simulation and detection of transients on a 150kV HV Cable-paper
Simulation and detection of transients on a 150kV HV Cable-paperSimulation and detection of transients on a 150kV HV Cable-paper
Simulation and detection of transients on a 150kV HV Cable-paper
 
W04406104107
W04406104107W04406104107
W04406104107
 
2009 EuCAP-Hybrid of Monopole and Dipole Antennas for Concurrent WLAN AP
2009 EuCAP-Hybrid of Monopole and Dipole Antennas for Concurrent WLAN AP2009 EuCAP-Hybrid of Monopole and Dipole Antennas for Concurrent WLAN AP
2009 EuCAP-Hybrid of Monopole and Dipole Antennas for Concurrent WLAN AP
 
701
701701
701
 
Transmission media and communication protocols
Transmission media and communication protocolsTransmission media and communication protocols
Transmission media and communication protocols
 
Lights Fantastic: The Current State of Fiber Optics
Lights Fantastic: The Current State of Fiber OpticsLights Fantastic: The Current State of Fiber Optics
Lights Fantastic: The Current State of Fiber Optics
 
Aman report
Aman reportAman report
Aman report
 
Ppt
PptPpt
Ppt
 
EFFECT OF TOD AND GVD IN LONG-HAUL OFC SYSTEM
EFFECT OF TOD AND GVD IN LONG-HAUL OFC SYSTEMEFFECT OF TOD AND GVD IN LONG-HAUL OFC SYSTEM
EFFECT OF TOD AND GVD IN LONG-HAUL OFC SYSTEM
 
Power Transient Response of EDFA as a function of Wavelength in the scenario ...
Power Transient Response of EDFA as a function of Wavelength in the scenario ...Power Transient Response of EDFA as a function of Wavelength in the scenario ...
Power Transient Response of EDFA as a function of Wavelength in the scenario ...
 

Andere mochten auch

Clase 3 gestion entrada salida
Clase 3 gestion entrada salidaClase 3 gestion entrada salida
Clase 3 gestion entrada salida
UPTM
 
Presentacio camera fotos
Presentacio camera fotosPresentacio camera fotos
Presentacio camera fotos
jchivite1
 
Día de internet
Día de internetDía de internet
Día de internet
inaenaito
 

Andere mochten auch (20)

Dl24724726
Dl24724726Dl24724726
Dl24724726
 
Ev24924929
Ev24924929Ev24924929
Ev24924929
 
Dc24672680
Dc24672680Dc24672680
Dc24672680
 
Jt2517251731
Jt2517251731Jt2517251731
Jt2517251731
 
Ek24862867
Ek24862867Ek24862867
Ek24862867
 
Ep24889895
Ep24889895Ep24889895
Ep24889895
 
06 colisao final
06 colisao final06 colisao final
06 colisao final
 
Petição crmv set 2013
Petição crmv set 2013Petição crmv set 2013
Petição crmv set 2013
 
punt linia i pla
punt linia i plapunt linia i pla
punt linia i pla
 
Presentacion educar para proteger
Presentacion educar para protegerPresentacion educar para proteger
Presentacion educar para proteger
 
Clase 3 gestion entrada salida
Clase 3 gestion entrada salidaClase 3 gestion entrada salida
Clase 3 gestion entrada salida
 
Presentacio camera fotos
Presentacio camera fotosPresentacio camera fotos
Presentacio camera fotos
 
Ja2415771582
Ja2415771582Ja2415771582
Ja2415771582
 
Le2418811888
Le2418811888Le2418811888
Le2418811888
 
Book social media 2012-10
Book social media 2012-10Book social media 2012-10
Book social media 2012-10
 
Hk2413161321
Hk2413161321Hk2413161321
Hk2413161321
 
Iw2515781584
Iw2515781584Iw2515781584
Iw2515781584
 
Mostafa Kamal
Mostafa KamalMostafa Kamal
Mostafa Kamal
 
Jo2416561659
Jo2416561659Jo2416561659
Jo2416561659
 
Día de internet
Día de internetDía de internet
Día de internet
 

Ähnlich wie E24027033

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD Editor
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
CSCJournals
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
IJEACS
 
Comparative study of defected ground structures harmonics rejection ability i...
Comparative study of defected ground structures harmonics rejection ability i...Comparative study of defected ground structures harmonics rejection ability i...
Comparative study of defected ground structures harmonics rejection ability i...
IAEME Publication
 

Ähnlich wie E24027033 (20)

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
 
Bl34395398
Bl34395398Bl34395398
Bl34395398
 
A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...
A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...
A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...
 
Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...
 
M0111397100
M0111397100M0111397100
M0111397100
 
Design of delta sigma modulators for integrated sensor applications
Design of delta sigma modulators for integrated sensor applicationsDesign of delta sigma modulators for integrated sensor applications
Design of delta sigma modulators for integrated sensor applications
 
CMOS Dynamic Logic: A Review
CMOS Dynamic Logic: A ReviewCMOS Dynamic Logic: A Review
CMOS Dynamic Logic: A Review
 
Ji3516041608
Ji3516041608Ji3516041608
Ji3516041608
 
85 88
85 8885 88
85 88
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Comparative study of defected ground structures harmonics rejection ability i...
Comparative study of defected ground structures harmonics rejection ability i...Comparative study of defected ground structures harmonics rejection ability i...
Comparative study of defected ground structures harmonics rejection ability i...
 
J42046469
J42046469J42046469
J42046469
 
Switchable dual-band bandpass filter based on stepped impedance resonator wit...
Switchable dual-band bandpass filter based on stepped impedance resonator wit...Switchable dual-band bandpass filter based on stepped impedance resonator wit...
Switchable dual-band bandpass filter based on stepped impedance resonator wit...
 
Dickson voltage multiplier with 1 to 6 stages for dual-band rectifiers (2.45/...
Dickson voltage multiplier with 1 to 6 stages for dual-band rectifiers (2.45/...Dickson voltage multiplier with 1 to 6 stages for dual-band rectifiers (2.45/...
Dickson voltage multiplier with 1 to 6 stages for dual-band rectifiers (2.45/...
 
Dense wavelength division multiplexing....
Dense wavelength division multiplexing....Dense wavelength division multiplexing....
Dense wavelength division multiplexing....
 
P358387
P358387P358387
P358387
 
A new power line communication modem design with applications to vast solar f...
A new power line communication modem design with applications to vast solar f...A new power line communication modem design with applications to vast solar f...
A new power line communication modem design with applications to vast solar f...
 

E24027033

  • 1. T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha, RAJASEKHAR / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.027-033 A low noise and low power 3-GHz 64~127 Multi-Modulus Frequency Divider implementation using BiCMOS technology 1.T.B.K MANOJ KUMAR 2.S.ADILAKSHMI 3. Pradeep Kumar Dixena 4. Dr. Fazal Noorbasha 5. RAJASEKHAR ABSTRACT This paper presents implementation of a integration. To date, the highest operating frequencies high speed, low noise and low power frequency have been achieved with bipolar and III–V technologies divider based on current mode logic and cascade though their power consumptions are high. Compared connection of divide-by-2/3 cells for high division to the bipolar and III–V dividers, CMOS dividers range. The proposed divider is optimized for high usually operate at lower frequencies. To increase the frequency and low power operation. Integration of operating frequency at a given power consumption, the proposed divider in a frequency synthesizer is an several techniques are used, such as injection locking, attractive option for the ultra-low power carrier dynamic circuit, and improved Miller dividers. signal generation in coherent wired and wireless Compared with them, a static divider has a much wider communication systems. operating range and moderate operating frequency and A prototype has been implemented in 0.24μm SiGe- power consumption. CMOS static frequency dividers BiCMOS technology. The operating frequency is 3 operating around 20 GHz have recently been reported, GHz; the divide ratio is 64/127 with step of 1 with but the power consumption is too high. In this paper, by 2.5V power supply and current consumption is optimizing the transistors size, a power efficient 64:127 1.5mA occupying 0.06mm2 chip areas. The modulus CMOS static frequency divider is presented. The power may be integer or fractional. consumption of the first 2:3 stage is less than 15% of other bulk CMOS static frequency dividers at the same Keywords – Frequency Divider, Multi-Modulus, frequency. The tradeoff between the speed and power Prescaler, Phase Switching, 2/3 Cell, Frequency consumption is discussed in detail. Synthesizers, Wireless Transceivers, BiCMOS, Current Mode Logic. 1.BiCMOS Process Technology BiCMOS technology is a combination of I. INTRODUCTION Bipolar and CMOS technology. CMOS technology Frequency dividers are critical components offers less power dissipation, smaller noise margins, for frequency translation and channel selection in and higher packing density. Bipolar technology, on the wireless transceivers used in frequency synthesizers. other hand, ensures high switching and I/O speed and Divider design is a challenging task due to the stringent good noise performance. It follows that BiCMOS requirements imposed by RF systems. Multi-modulus technology accomplishes both improved speed over frequency divider plays an important role in frequency CMOS and lower power dissipation than bipolar synthesizers for wireless communications. It operates at technology. The main drawback of BiCMOS the highest frequency and must be controllable over a technology is the higher costs due to the added process wider range in order to synthesize the desired output complexity. Impurity profiles have to be optimized to frequencies, which is narrow channel spaced. HIGH- both NPN and CMOS issues. This greater process SPEED frequency dividers are critical in a variety of complexity results in a cost increase compared to applications from frequency syntheses in wireless conventional CMOS technology. communications to broadband optical fiber The primary approach to realize high performance communication systems. These applications require BiCMOS devices is the addition of bipolar process high speed, low power, high sensitivity, and monolithic steps to a baseline CMOS process 27 | P a g e
  • 2. T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha, RAJASEKHAR / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.027-033 2. Latch implemented by CML logic FIGURE 1: Current-Mode D-Latch. The conventional CML Flip-Flop of Figure 4.4 works So if change Vo is small, the latch time can be larger similar to a latched comparator with the positive than the allowed time to latch (half the clock period) feedback supplementing the gain of the differential causing metastability. Further, low voltage swings pair. The latch mode time constant in the positive are more susceptible to noise and mismatch. feedback phase has been derived using a linearized Although not very critical in the case of frequency model in The result derived indicates that the dividers, this would be relevant for the design of transient response of the latch is represented by the oscillator delay stages. The upper bound on voltage solution swings (Vsw) is established by biasing conditions of differential pair transistors. When one differential delay stage drives a similar stage, then the differential pair transistor with a high input voltage requires a large enough VDS to remain in saturation . 3. Divide-by-64~127 Divider 28 | P a g e
  • 3. T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha, RAJASEKHAR / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.027-033 Fig.5.4. Circuit diagram of the divide-by-64~127 frequency divider The divide-by-64~127 frequency divider is implemented in cascade connection of divide-by-2/3 cells architecture [5]. The circuit diagram of the divide-by-64~127 frequency divider is shown in Fig.5. It is constructed using cascade connection of six divide-by-2/3 cells. Each cell contains one control bit in which the division ratio can be selected. The detail implementation of the divide-by-2/3 cell is also shown in Fig.5. When the control bit P is set to high, the division ratio is three, and the division ratio becomes two when the control bit P is set to low. Thus, the overall division ratio is continuingly programmable ranged from 64 to127. Since the input frequency of the divide- by-64~127 divider has been scaled down by 4/5, in order to lower the power consumption, the D-Flip-Flops are implemented in true single phase clock D-Flip-Flop (TSPC), and the control logics are implemented in CMOS logic. The divide-by-64~127 divider require rail-to-rail input signal. Thus, a differential to single end buffer is used the differential output of F4/5 to rail-to-rail CMOS Logic le vel. 29 | P a g e
  • 4. T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha, RAJASEKHAR / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.027-033 4.Phase noise reduction in divider constituting a PLL. We choose the frequency dividers Low power consumption and high (FD) as the focus of this work. The phase noise performance are often contradictory requirements and generated by a FD affects the synthesizer noise this constitutes a design tradeoff. In particular, performance within the PLL band, especially if a achieving a low phase noise in the phase locked loop high division factor is used. Additionally, the digital (PLL) of frequency synthesizers is one of the most FD is in general responsible for a significant portion stringent requirements. Normally, the current trend of the total power consumption of the PLL. However, towards lower power consumption degrades phase a decrease in the power consumption of the divider noise performance. Therefore, we need to define an degrades its phase noise performance. Therefore, we appropriate way of optimizing towards low power need, first, to identify the fundamental tradeoff consumption without sacrificing the phase noise between noise and power consumption in this performance of the PLL. To comprehend in depth particular block and, second, to have a robust and this design trade-off, one should identify the internal reliable way of simulating phase noise of “sample phase noise mechanisms intrinsic to each block and hold” based circuits such as a digital FD. 30 | P a g e
  • 5. T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha, RAJASEKHAR / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.027-033 Fig: phase noise simulation of Fdimplemented using bicmos technology Fig: phase noise simulation of Fdimplemented using cmos technology 31 | P a g e
  • 6. T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha, RAJASEKHAR / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.027-033 PERFORMANCE SUMMARY OF THE MULTI-MODULUS FREQUENCY DIVIDER Parameters Symbol performance Technology - 0.24um Bicmos 0.24um cmos technology technology Division Ratio - 2~3 2~3 Supply Voltagr vdd 2.5v 2.5v Supply Current idd 1.5mA 2mA Power consumption - 3.824mw 4.6mw Maximum Operating Frequency Fin MAX 3GHZ 3GHZ Phase Noise@1kHz Pn1khz -140.5dBc/Hz -123.4 dBc/Hz Phase Noise@10kHz Pn10khz -140.6dBc/Hz -132.7 dBc/Hz Phase Noise@1MHz Pn1Mhz 140.6dBc/Hz -138.5 dBc/Hz TABLE 1 COMPARISION OF CMOS AND BICMOS PROPERTIES CONCLUSION: Bibilography: A 3GHz multi-modulus frequency divider based on current mode logic has been demonstrated [l] B. Razavi, Y. Ota, and R. G. Swartz, “Design in 0.24μm SiGe BiCMOS technology. It is optimized techniques for lowvoltage high-speed digital for high frequency and low power operation both on bipolar circuits” IEEE J. Solid-State Circuits, architecture level and circuits implementations. The vol. 29, pp. 332-339, March 1994 527. division ratio is ranged from 64 to 127 continually [2] J.-O Plouchart et al., “Performance variations with step of 1, with the current consumption of of a 66GHz static CML divider in 90nm 1.5mA from 2.5V supply voltage. the dedicated CMOS,” in IEEE International Solid-State design approach and the BiCMOS SiGe process Circuits Conference, 2006, pp. 526–527. capabilities led to a good trade-off between [3] C. S. Vaucher, I. Ferencic, M. Locher, S. maximum input frequency, input sensitivity, noise Sedvallson, U. Voegeli, and Z. Wang, "A floor, power consumption, division ratios and die size family of low-power truly modular compared with the current available high-frequency programmable dividers in standard 0.35-μm dividers. CMOS technology," IEEE Journal of Solid- State Circuits, vol. 35, pp. 1039 - 1045, July 2000. [4] H. Knapp et al., “2GHz/2mW and 12GHd30mW dual-modulus prescalers in silicon bipolar technology,”in Proc. Bipolar/BiCMOS Circuits and Technology Meeting, 2000,pp. 164- 167. [5] J.-O Plouchart et al., “A 33 GHz 2:1 static frequency divider in 0.12-um SOI CMOS,” in IEEE Radio Frequency Integrated Circuit Conference,2003, pp. 329–332. 32 | P a g e
  • 7. T.B.K MANOJ KUMAR, S.ADILAKSHMI, Pradeep Kumar Dixena, Dr. Fazal Noorbasha, RAJASEKHAR / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.027-033 T.B.K MANOJ KUMAR received the B.Tech. degree in Electronics & Communications Engineering from JNTU KAKINADA in 2010 and pursuing M.Tech (VLSI) in K L University. . His research interests include Digital VLSI Design and and low power vlsi. Ms.S.Adilakshmi received her B.Tech degree in Electronics & Communications Engineering from RVR&JC College of Engineering which is affiliated to Acharya Nagarjuna University in 2005, AP, and India. M.Tech degree in VLSI Design in TKR College of Engineering & Technology which is affiliated to JNTU Hyderabad in 2011. Presently she is working as Assistant Professor, Department of ECE in K.L.University, Guntur, AP, and India. She research intrest in development of Low-Power VLSI, DSP, and Embedded Systems . Pradeep Kumar Dixena received the B.E. degree from the Raipur Institute of Technology, Raipur, in electronics and electrical communication engineering in 2005 and the M.E. degrees in Microelectronics Systems from the Indian Institute of Science at Bangalore,2011. Since 2006, he has been working as Scientist at Advanced Numerical Research and Analysis Group (ANURAG) , DRDO (Defence Research & Development Organization), Hyderabad. His current research interests include the analysis and integrated-circuit development of Sensor interface ASIC and frequency synthesizers Dr.Fazal Noorbasha was born on 29th April 1982. He received the B.Sc. degree in Electronics Science from BCAS College, Bapatla, Guntur, A.P., Affiliated to the Acharya Nagarjuna University, Guntur, A.P., India, in 2003, M.Sc. degree in Electronics from the Dr. HariSingh Gour Central University, Sagar, M.P., India, in 2006, M.Tech. Degree in VLSI technology, with specialization in Embedded systems from the North Maharashtra University, Jalgaon, M.S., INDIA in 2008 And Ph.D. from Department Of Physics and Electronics, Dr. HariSingh Gour Central University, Sagar, M.P., India, in 2011. Presently he is Assistant Professor, Department of ECE, KL University, where he has been engaged in the research and development of low-power, high-speed CMOS VLSI technology, Memory Processors LSI’s, Digital Image Processing, Embedded Systems and Nanotechnology. He has published over 16 technical papers in international and National reputed journals and conferences. KONARI.RAJASEKHAR received the B.Tech. degree in Electronics & Communications Engineering from JNTU KAKINADA in 2010 and pursuing M.Tech (VLSI) in K L University. . His research interests include Digital VLSI Design and low power vlsi. 33 | P a g e