SlideShare ist ein Scribd-Unternehmen logo
1 von 4
Downloaden Sie, um offline zu lesen
ACEEE Int. J. on Communication, Vol. 02, No. 01, Mar 2011


             Built-in Self Repair for SRAM Array using
                             Redundancy
                                              A. Padma Sravani, Dr. M. Satyam
                                    Centre for VLSI and Embedded System Technologies
                             International Institute of Information Technology, Hyderabad, India
                                 Email: padmasravani.a@research.iiit.ac.in, satyam@iiit.ac.in

Abstract—In this paper, a built-in self repair technique for            is not allowed. Many techniques have been proposed to handle
word-oriented two-port SRAM memories is presented. The                  failing cells in SRAM structures. Fault masking methods like
technique is implemented by additional hardware design                  Triple Modular Redundancy (TMR) [7] can be used for small
instead of traditional software diagnostic procedures and the           size memories. .However, such techniques are not feasible for
computation time is minimized. A built-in self-test (BIST) is           high capacity memories because of large additional hardware
used to detect the faulty locations which are isolated                  overhead.
immediately after detection. Therefore, the redirection process
                                                                           Statistical sizing and optimization of the SRAM cell for
can be executed as soon as possible. Spare rows are used to
replace the faulty rows. The hardware overhead of the
                                                                        yield enhancement is suggested in [10]. This pre-silicon
automatic fault isolation design depends on size of memory              technique could improve production yield, however, it is
system. All the repairs using BISR circuit are done at power            limited by conflict in sizing requirement for different types
on.                                                                     of failures [15]. Conventional procedures have faulty lines
                                                                        replaced with redundant lines by switching between decoders
Index Terms—SRAM, two-port memories, PVT faults, fault                  [13] [12]. Access time penalty is inevitable in this method,
isolation, BISR                                                         which is not desirable for high-speed SRAMs.
                                                                          More recent approaches use BISR concepts. In [14], faulty
                       I.INTRODUCTION                                   address and its data are stored in the redundancy logic
                                                                        requiring address comparison in BISR, causing extra power
   Today’s data-dominated multimedia applications require
                                                                        during the normal operation. In [15], BISR circuit requires
more memory than ever before. On-chip SRAM memories
                                                                        fail address memory (consists of CAM) and a spare memory.
begin to dominate the chip area and have become the focus
                                                                        This method also compares input addresses with stored
of technology scaling. However, the physical limitations of
                                                                        addresses during normal operation increasing power
the technology scaling jeopardize further progress of
                                                                        consumption. Dedicated CAM structure is largest part of
microelectronics as scaling results in process variations.
                                                                        hardware overhead.
Increase in process variations causes parametric variations in
                                                                          We propose Built-in Self Repair SRAM architecture which
transistor feature sizes and threshold voltages due to random
                                                                        uses BIST (Built-in Self Test) to detect and replace faulty
dopant fluctuations, line edge roughness, sub-wavelength
                                                                        rows using spare rows. BISR circuit which operates only
lithography[5] [6]. Closely matched devices and small
                                                                        during the power on is proposed. During normal operation,
transistor sizes which matter the most when designing SRAM
                                                                        address comparison with faulty addresses is not required,
memories, are the first to suffer from the side-effects of
                                                                        because the necessary repair is done during power on. This
scaling. Random nature of local process variation causes
                                                                        architecture has minimum additional hardware overhead and
defects to have random and uniform distribution [8] [9] .This
                                                                        access time penalty. The repair capability of SRAM depends
adversely affects the expected system yield. Since memory
                                                                        on the number of spare rows used. This fault isolation and
is one of the biggest blocks of any system, it is more prone
                                                                        redirection to spare rows scheme can be completely
to faults under process variation. A failure in memory cell
                                                                        implemented with additional hardware. Here we assume that
can occur due to i) an increase in cell access time and ii)
                                                                        the additional hardware is fault-free because of its relative
unstable read/write operation iii) inability to hold the cell
                                                                        simplicity.
content [11]. The mismatch in device parameters will increase
                                                                          The paper is organized as follows. In section II, review of
the probability of these failures.
                                                                        8t - SRAM cells and two-port memories is given. Section
   As integrated circuits are growing rapidly in component
                                                                        III describes different failures in SRAM cell. Section IV
density and scale, error detection and fault isolation have
                                                                        explains the BIST architecture. Section V shows the
become more difficult and expensive. The decrease in cost
                                                                        redirection of faulty rows to spare rows. Section VI gives
of manufacturing as against increase in cost of testing has
                                                                        the simulation results and finally in section VII we draw
led to various approaches to design for diagnosability [l] [2]
                                                                        conclusions.
and design for testability [3] [4]. Methods to improve
reliability by providing internal fault diagnosis/tolerance with
additional hardware are gaining importance. On the other
hand, the introduced test area overhead must be kept as low
as possible. In particular, a duplication of the entire memory

© 2011 ACEEE                                                       18
DOI: 01.IJCom.02.01.123
ACEEE Int. J. on Communication, Vol. 02, No. 01, Mar 2011

                   II.REVIEW OF SRAM CELLS                                 pattern generator. Theselection choice is done with T / N input
           The conventional static random access memory                    which is used to select test input address and test input data.
(SRAM) cell consists of 6 transistors (6T). To meet the                    In this design it is assumed a BIST controller is present which
expected demands of parallel or pipelined microprocessors                  generates the T / N signal and test pattern generator which
and for increased data throughput multi-port SRAMs are                     generates necessary inputs for SRAM array. The BIST
often used. Multi-port SRAMs are implemented using SRAM                    controller will signal the end of testing process by making
due to its fast operation and the ability to support multiple read         the signal T / N low.
and write operations simultaneously. A multi-port memory, such
as two-port memory as shown in fig. 1 is actually a single
memory cell with two entirely independent sets of data, ad-
dress and control lines. Such a memory can be written or read
from two different paths. By using two-port SRAMs, the effi-
ciency of the memory accesses can be doubled. In the two-port
memories, the read and write ports are completely independent
and can access any location simultaneously (but simultaneous
access to same cell by both ports cannot happen). In this paper,
we study the faults occurring in the two-port SRAM cells. The
memory is word-oriented and is modelled at the transistor level.
The circuit operation is studied during presence of fault. A set
of test patterns are applied to detect the faults. A failure in any
of the cells in a row of the memory will make that row faulty
and entire row is isolated and then redirected.




                    Fig 1. Two-port SRAM cell

          III.FAILURE MECHANISM IN SRAM
    Process variations in SRAM cells cause one of the following
failures - access failure, read failure, write failure and hold-            Fig 2. SRAM array with BIST and comparison circuit, isolation circuit
stability failure. Read failure and hold-stability failure occur in                               and redirection circuit
the presence of excessive variations in device parameters                      The test results are collected in the isolation circuit block
coupled with increased disturbance to the cell and very low                of the design, which determine whether the selected row in
supply voltages. The dynamic stability of the cell during the              SRAM array is fault-free or faulty. It also generates necessary
read and write operation is defined by the robustness of the cell          signals to redirect those lines to the spare array rows. Fig. 2
against threshold voltage variations. Write failure and access             shows the entire architecture used in this design.
failure may result even in the presence of slight variations.
Employing aggressive timing and low supply voltages leads                        V.FAULT DETECTION AND REDIRECTION
to these failures which have more concern.
                                                                                              CIRCUITS
                 IV.BIST ARCHITECTURE
                                                                           a) Fault Detection
          Built In Self Test allows performing self test by                         A comparison circuit is used to detect the faults in
designing and integrating additional hardware and software                 SRAM. The fault in SRAM can occur due to any one of the
features in memory arrays. BIST makes the testing of a chip                above reasons discussed in Section III. But the fault is
easier, faster, more efficient, and less costly. The Built-in self         detected only when a row is read. In this design a row of
test is done at every power on. In the proposed architecture as            SRAM array is written and is read in the following cycle.
shown in fig. 2 the T / N signal initiates the testing process. The                 The input data and the data read during read
multiplexers isolate all the SRAM inputs and select either                 operation are compared to detect the fault. A bit-wise
normal system inputs or test inputs generated by the test
© 2011 ACEEE                                                          19
DOI: 01.IJCom.02.01.123
ACEEE Int. J. on Communication, Vol. 02, No. 01, Mar 2011

comparison between input data (which should be delayed                             consideration, only one faulty row occurs within a block
for 1-Clk) and sense amplifier outputs is done. An error in                        and it can be redirected to one of the 2k spare locations.
single SRAM cell will make the entire row faulty. The                                  Fig. 4 shows the circuit implementation of redirecting
generated fault signal determines the fault status of the row.                     faulty locations to spare locations. The redirection logic (R.L)
                                                                                   will redirect the faulty row address to one of the spare
b) Fault isolation of the failing row                                              locations. The design has minimum hardware overhead and
           When fault = 1, the row is detected as faulty. This                     the access delay due to additional hardware is also kept low.
fault signal is directed to all the isolations circuits. To mark the
particular faulty row it is ANDed with WL_read (the row from                                              VI.SIMULATION RESULTS
which the read operation occurred). The resulting signal, from
                                                                                           All the simulations were carried out in HSPICE with
the isolation circuit module will mark the row as faulty through
                                                                                   1V supply voltage using the Predictive Technology Model
the fault signal. The pointers are updated to invalidate the row.
                                                                                   (PTM) [16] files at 65nm technology node.
The isolation of row would mean the wordlines for write and
read of that row are permanently grounded and the row can
never be accessed. The disconnect logic is implemented using
switches. The hardware implementation of isolation circuit is
shown in fig. 3.




           Fig. 3. Isolation circuit used to isolate the faulty rows

    For each wordline the above shown isolation circuit will
be used and the output of it will control the switches which
direct the decoded input address to normal row (in fault-free                       Fig. 5 Read failure of a cell causing incorrect read operation (incorrect
condition) or spare row (in faulty condition). The fault status                                              sense amplifier output)
of the row is stored in the inverters and can be used for further
analysis.
 c) Repair using Redundancy
    Redundancy is to provide multiple identical instances of
the same system and switching to one of the remaining
instances in case of a failure. When a failure occurs, the
system must be able to isolate the failed component. This
requires the addition of dedicated failure detection
mechanisms and redirection logic to redirect to spare
locations.




                                                                                   Fig. 6 The fault signal and wordlines before and after detection of fault

 Fig. 4 Redirection circuit to redirect faulty locations to spare locations                 For the simulation a fault is introduced in bit#0 of
                                               n                k                  row#0 in SRAM array. w_dadd_0 and r_dadd_0 are the ad-
    Consider an SRAM array with 2 rows and 2 spare rows
                                                                                   dress decoder outputs for write port and read port of row#0.
where k << n. The 2n rows are divided into 2k blocks. Any
                                                                                   When they are high, the respective wordlines, WL_Write_0
fault in one of the rows in those 2k blocks (each of size 2n-k)
                                                                                   and WL_Read_0 are made high and the row is accessed for
will be redirected to one of the 2k spare locations. It is
                                                                                   write and read respectively. During second time read of
assumed the faults are normally distributed and during each

© 2011 ACEEE                                                                  20
DOI: 01.IJCom.02.01.123
ACEEE Int. J. on Communication, Vol. 02, No. 01, Mar 2011


row#0 the data in the cell ( Q and Q ) is flipped causing read             [6]   G. Gielen et al., “Analog and digital circuit design in 65 nm
failure. The sense amplifier output is wrong and does not                       CMOS: end of the road?”, in Proceedings of the Design,
                                                                                Automation and Test in Europe, 2005
match with the delayed input. Hence row is found faulty
                                                                           [7] D.P. Siewiorek and R.S. Swarz, “The Theory and Practice of
and the fault signal goes high, indicating the fault. It can be
                                                                                Reliable System Design”, Digital Press, 1982
observed that in the following cycles for the valid input ad-              [8] S. R. Nassif “Modeling and Analysis of manufacturing
dress for row#0, the WL_Write_0 and WL_Read_0 remain                            variation” in Proc. CICC, 2001 pp/ 223-228
low i.e., the row contents are not accessed, but instead the               [9] S. Borkar, T. Karnik, et al., “Process Variation and impact on
wordlines (for write and read ports) of spare row are raised                    circuits and micro architectures,” in Proc DAC 2003 pp338-
high and it is used.                                                            342
                                                                           [10] S. Mukhopadhyay, et al., “Statistical design and optimization
                      VII.CONCLUSION                                            of SRAM cell for yield enhancement,” in Proc. Int. Conf.
                                                                                Computer Aided Design (ICACD), Nov. 2004, pp. 10–13.
         A built-in self repair for word-oriented SRAM is                  [11] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, “Modeling
proposed. The fault detection is done using built-in self test                  and estimation of failure probability due to parameter
and comparison logic. The isolation circuit has isolated the                    variations in nano-scale SRAM’s for yield enhancement,” in
faulty rows from the array. Repair is achieved by redirecting                   Proc. VLSI Circuit Symp., Jun. 2004, pp. 64–67
faulty rows to the spare rows using redirection circuit.                   [12] Stanley Schuster,”Multiple Word/Bit Line Redundancy for
                                                                                Semiconductor Memories”, IEEE Journal of Solid State
                                                                                Circuits, Vol.SC-13, No.5, October 1978, pp.698-703.
                        REFERENCES                                         [13] T. Mano and M. Wada and N. Ieda, M. Tanimoto, “A
[1] H. Ma and Y. Liu, “Design for diagnosable multiple-output                   Redundancy Circuit for a Fault-Tolerant 256K MOS RAM”,
    digital systems,” in Proc. IEEE VLSI Test Symposium, IEEE                   IEEE Journal of Solid State Circuits, Vol.SC-17, No.4, August
    Computer Society Press, Atlantic City, NJ, April 15 -17,1991,               1982, pp.726-731.
    pp.204-209.                                                            [14] V. Schober and S. Paul and O. Picot, “Memory Built-In Self-
[2] H. Ma and Y. Liu, “Efficient placement of error checkers in                 Repair using redundant word”, IEEE International Test
    VLSI systems,” in Proc. Test Engineering Conference, Atlanta,               Conference, 2001, pp.995-1001.
    GA, June 25-27, 1991, pp.103-111.                                      [15] A. Tanabe and T. Takeshima and H. Koike and Y. Amimoto
[3] H. Ma and T. N. Raiashekhara, “A testable design of                         and M. Takada and et. al, “A 30-ns 64-Mb DRAM with Built-
    semiconductor RAMS,” d Roc. The 30th Midwest Conference                     in Self-Test and Self- Repair Function”, IEEE Journal of Solid
    on Wts and Systems, Syracuse., NY, 1987, pp.540-543.                        State Circuits, Vol.27, No.11, November 1992, pp.1525-1533.
[4] T. W. Williams and K P. Parker, “Design for testability – a            [16] Berkeley Predictive Technology Model website, http://
    survey,” IEEE Trans. Comput., C-31, No.1, Jan. 1982, pp.2-                  www.eas.asu.edu/~ptm/
    15.
[5] A. Bhavanagarwala, X. Tang, and J. Meindl, “The impact of
    intrinsic device fluctuations on CMOS SRAM cell stability,”
    IEEE J. Solid State Circuits, vol. 36, no. 4, pp. 658-665, Apr.
    2001.




© 2011 ACEEE                                                          21
DOI: 01.IJCom.02.01.123

Weitere ähnliche Inhalte

Was ist angesagt?

Rfem 5 flyer_en
Rfem 5 flyer_enRfem 5 flyer_en
Rfem 5 flyer_enJo Gijbels
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical designsasikun
 
High performance operating system controlled memory compression
High performance operating system controlled memory compressionHigh performance operating system controlled memory compression
High performance operating system controlled memory compressionMr. Chanuwan
 
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset ErrorCounter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset ErrorIRJET Journal
 
Modifying Hamming code and using the replication method to protect memory aga...
Modifying Hamming code and using the replication method to protect memory aga...Modifying Hamming code and using the replication method to protect memory aga...
Modifying Hamming code and using the replication method to protect memory aga...TELKOMNIKA JOURNAL
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
Partition problem IN VLSI algorithem automation
Partition problem IN VLSI algorithem automationPartition problem IN VLSI algorithem automation
Partition problem IN VLSI algorithem automationAnil Kumar Sahu
 
Mil soft jets jetsim
Mil soft jets jetsimMil soft jets jetsim
Mil soft jets jetsimmilsoftSDC
 
White Paper: New Features in EMC Enginuity 5876 for Mainframe Environments
White Paper: New Features in EMC Enginuity 5876 for Mainframe Environments  White Paper: New Features in EMC Enginuity 5876 for Mainframe Environments
White Paper: New Features in EMC Enginuity 5876 for Mainframe Environments EMC
 
ITG: Cost/Benefit Case for the IBM DS8800 Systems: Comparing Costs for DS8800...
ITG: Cost/Benefit Case for the IBM DS8800 Systems: Comparing Costs for DS8800...ITG: Cost/Benefit Case for the IBM DS8800 Systems: Comparing Costs for DS8800...
ITG: Cost/Benefit Case for the IBM DS8800 Systems: Comparing Costs for DS8800...IBM India Smarter Computing
 
report on recovery in mobile database system
report on recovery in mobile database systemreport on recovery in mobile database system
report on recovery in mobile database systemPraveen Pallav
 
Performance and memory profiling for embedded system design
Performance and memory profiling for embedded system designPerformance and memory profiling for embedded system design
Performance and memory profiling for embedded system designMr. Chanuwan
 
A Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixA Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixIRJET Journal
 

Was ist angesagt? (19)

Rfem 5 flyer_en
Rfem 5 flyer_enRfem 5 flyer_en
Rfem 5 flyer_en
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
 
High performance operating system controlled memory compression
High performance operating system controlled memory compressionHigh performance operating system controlled memory compression
High performance operating system controlled memory compression
 
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset ErrorCounter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
 
PPU_PNSS-1_ICS-2014
PPU_PNSS-1_ICS-2014PPU_PNSS-1_ICS-2014
PPU_PNSS-1_ICS-2014
 
Modifying Hamming code and using the replication method to protect memory aga...
Modifying Hamming code and using the replication method to protect memory aga...Modifying Hamming code and using the replication method to protect memory aga...
Modifying Hamming code and using the replication method to protect memory aga...
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
EDEM Brochure
EDEM BrochureEDEM Brochure
EDEM Brochure
 
Partition problem IN VLSI algorithem automation
Partition problem IN VLSI algorithem automationPartition problem IN VLSI algorithem automation
Partition problem IN VLSI algorithem automation
 
Mil soft jets jetsim
Mil soft jets jetsimMil soft jets jetsim
Mil soft jets jetsim
 
White Paper: New Features in EMC Enginuity 5876 for Mainframe Environments
White Paper: New Features in EMC Enginuity 5876 for Mainframe Environments  White Paper: New Features in EMC Enginuity 5876 for Mainframe Environments
White Paper: New Features in EMC Enginuity 5876 for Mainframe Environments
 
ITG: Cost/Benefit Case for the IBM DS8800 Systems: Comparing Costs for DS8800...
ITG: Cost/Benefit Case for the IBM DS8800 Systems: Comparing Costs for DS8800...ITG: Cost/Benefit Case for the IBM DS8800 Systems: Comparing Costs for DS8800...
ITG: Cost/Benefit Case for the IBM DS8800 Systems: Comparing Costs for DS8800...
 
report on recovery in mobile database system
report on recovery in mobile database systemreport on recovery in mobile database system
report on recovery in mobile database system
 
E04552327
E04552327E04552327
E04552327
 
Performance and memory profiling for embedded system design
Performance and memory profiling for embedded system designPerformance and memory profiling for embedded system design
Performance and memory profiling for embedded system design
 
Special report-s3
Special report-s3Special report-s3
Special report-s3
 
A Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixA Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus Matrix
 

Andere mochten auch

Latest VLSI Project Titles 2015
Latest VLSI Project Titles 2015Latest VLSI Project Titles 2015
Latest VLSI Project Titles 2015musthafa01
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...iosrjce
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
Simulated Analysis and Enhancement of Blowfish Algorithm
Simulated Analysis and Enhancement of Blowfish AlgorithmSimulated Analysis and Enhancement of Blowfish Algorithm
Simulated Analysis and Enhancement of Blowfish Algorithmiosrjce
 
An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...iosrjce
 

Andere mochten auch (9)

Latest VLSI Project Titles 2015
Latest VLSI Project Titles 2015Latest VLSI Project Titles 2015
Latest VLSI Project Titles 2015
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
 
Ramakrishnan_M
Ramakrishnan_MRamakrishnan_M
Ramakrishnan_M
 
ME-Resume
ME-ResumeME-Resume
ME-Resume
 
C0211520
C0211520C0211520
C0211520
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
Simulated Analysis and Enhancement of Blowfish Algorithm
Simulated Analysis and Enhancement of Blowfish AlgorithmSimulated Analysis and Enhancement of Blowfish Algorithm
Simulated Analysis and Enhancement of Blowfish Algorithm
 
An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...
 

Ähnlich wie Built-in Self Repair for SRAM Array using Redundancy

Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...VLSICS Design
 
Investigations on Implementation of Ternary Content Addressable Memory Archit...
Investigations on Implementation of Ternary Content Addressable Memory Archit...Investigations on Implementation of Ternary Content Addressable Memory Archit...
Investigations on Implementation of Ternary Content Addressable Memory Archit...IRJET Journal
 
Reliability and yield
Reliability and yield Reliability and yield
Reliability and yield rohitladdu
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyIRJET Journal
 
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONSUNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONSDr.YNM
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...IAEME Publication
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...IAEME Publication
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET Journal
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionIJMTST Journal
 
IRJET- Design And VLSI Verification of DDR SDRAM Controller Using VHDL
IRJET- Design And VLSI Verification of DDR SDRAM Controller Using VHDLIRJET- Design And VLSI Verification of DDR SDRAM Controller Using VHDL
IRJET- Design And VLSI Verification of DDR SDRAM Controller Using VHDLIRJET Journal
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 

Ähnlich wie Built-in Self Repair for SRAM Array using Redundancy (20)

Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 
Investigations on Implementation of Ternary Content Addressable Memory Archit...
Investigations on Implementation of Ternary Content Addressable Memory Archit...Investigations on Implementation of Ternary Content Addressable Memory Archit...
Investigations on Implementation of Ternary Content Addressable Memory Archit...
 
Reliability and yield
Reliability and yield Reliability and yield
Reliability and yield
 
Conference 2 ieee
Conference 2 ieeeConference 2 ieee
Conference 2 ieee
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
Kj2417641769
Kj2417641769Kj2417641769
Kj2417641769
 
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONSUNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
30_Design.pdf
30_Design.pdf30_Design.pdf
30_Design.pdf
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
 
LiberateMXWhitePaper
LiberateMXWhitePaperLiberateMXWhitePaper
LiberateMXWhitePaper
 
IRJET- Design And VLSI Verification of DDR SDRAM Controller Using VHDL
IRJET- Design And VLSI Verification of DDR SDRAM Controller Using VHDLIRJET- Design And VLSI Verification of DDR SDRAM Controller Using VHDL
IRJET- Design And VLSI Verification of DDR SDRAM Controller Using VHDL
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Ip2616541659
Ip2616541659Ip2616541659
Ip2616541659
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 

Mehr von IDES Editor

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A ReviewIDES Editor
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...IDES Editor
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...IDES Editor
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...IDES Editor
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCIDES Editor
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...IDES Editor
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingIDES Editor
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...IDES Editor
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsIDES Editor
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...IDES Editor
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...IDES Editor
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkIDES Editor
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetIDES Editor
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyIDES Editor
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’sIDES Editor
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...IDES Editor
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance AnalysisIDES Editor
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesIDES Editor
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...IDES Editor
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...IDES Editor
 

Mehr von IDES Editor (20)

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A Review
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFC
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive Thresholds
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability Framework
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through Steganography
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’s
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance Analysis
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
 

Kürzlich hochgeladen

HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxEsquimalt MFRC
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfSherif Taha
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.MaryamAhmad92
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxheathfieldcps1
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...Nguyen Thanh Tu Collection
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17Celine George
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jisc
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxAreebaZafar22
 
Plant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptxPlant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptxUmeshTimilsina1
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Jisc
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - Englishneillewis46
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and ModificationsMJDuyan
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...Nguyen Thanh Tu Collection
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsKarakKing
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfNirmal Dwivedi
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfagholdier
 
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Pooja Bhuva
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentationcamerronhm
 
Fostering Friendships - Enhancing Social Bonds in the Classroom
Fostering Friendships - Enhancing Social Bonds  in the ClassroomFostering Friendships - Enhancing Social Bonds  in the Classroom
Fostering Friendships - Enhancing Social Bonds in the ClassroomPooky Knightsmith
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptxMaritesTamaniVerdade
 

Kürzlich hochgeladen (20)

HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
Plant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptxPlant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptx
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - English
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentation
 
Fostering Friendships - Enhancing Social Bonds in the Classroom
Fostering Friendships - Enhancing Social Bonds  in the ClassroomFostering Friendships - Enhancing Social Bonds  in the Classroom
Fostering Friendships - Enhancing Social Bonds in the Classroom
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 

Built-in Self Repair for SRAM Array using Redundancy

  • 1. ACEEE Int. J. on Communication, Vol. 02, No. 01, Mar 2011 Built-in Self Repair for SRAM Array using Redundancy A. Padma Sravani, Dr. M. Satyam Centre for VLSI and Embedded System Technologies International Institute of Information Technology, Hyderabad, India Email: padmasravani.a@research.iiit.ac.in, satyam@iiit.ac.in Abstract—In this paper, a built-in self repair technique for is not allowed. Many techniques have been proposed to handle word-oriented two-port SRAM memories is presented. The failing cells in SRAM structures. Fault masking methods like technique is implemented by additional hardware design Triple Modular Redundancy (TMR) [7] can be used for small instead of traditional software diagnostic procedures and the size memories. .However, such techniques are not feasible for computation time is minimized. A built-in self-test (BIST) is high capacity memories because of large additional hardware used to detect the faulty locations which are isolated overhead. immediately after detection. Therefore, the redirection process Statistical sizing and optimization of the SRAM cell for can be executed as soon as possible. Spare rows are used to replace the faulty rows. The hardware overhead of the yield enhancement is suggested in [10]. This pre-silicon automatic fault isolation design depends on size of memory technique could improve production yield, however, it is system. All the repairs using BISR circuit are done at power limited by conflict in sizing requirement for different types on. of failures [15]. Conventional procedures have faulty lines replaced with redundant lines by switching between decoders Index Terms—SRAM, two-port memories, PVT faults, fault [13] [12]. Access time penalty is inevitable in this method, isolation, BISR which is not desirable for high-speed SRAMs. More recent approaches use BISR concepts. In [14], faulty I.INTRODUCTION address and its data are stored in the redundancy logic requiring address comparison in BISR, causing extra power Today’s data-dominated multimedia applications require during the normal operation. In [15], BISR circuit requires more memory than ever before. On-chip SRAM memories fail address memory (consists of CAM) and a spare memory. begin to dominate the chip area and have become the focus This method also compares input addresses with stored of technology scaling. However, the physical limitations of addresses during normal operation increasing power the technology scaling jeopardize further progress of consumption. Dedicated CAM structure is largest part of microelectronics as scaling results in process variations. hardware overhead. Increase in process variations causes parametric variations in We propose Built-in Self Repair SRAM architecture which transistor feature sizes and threshold voltages due to random uses BIST (Built-in Self Test) to detect and replace faulty dopant fluctuations, line edge roughness, sub-wavelength rows using spare rows. BISR circuit which operates only lithography[5] [6]. Closely matched devices and small during the power on is proposed. During normal operation, transistor sizes which matter the most when designing SRAM address comparison with faulty addresses is not required, memories, are the first to suffer from the side-effects of because the necessary repair is done during power on. This scaling. Random nature of local process variation causes architecture has minimum additional hardware overhead and defects to have random and uniform distribution [8] [9] .This access time penalty. The repair capability of SRAM depends adversely affects the expected system yield. Since memory on the number of spare rows used. This fault isolation and is one of the biggest blocks of any system, it is more prone redirection to spare rows scheme can be completely to faults under process variation. A failure in memory cell implemented with additional hardware. Here we assume that can occur due to i) an increase in cell access time and ii) the additional hardware is fault-free because of its relative unstable read/write operation iii) inability to hold the cell simplicity. content [11]. The mismatch in device parameters will increase The paper is organized as follows. In section II, review of the probability of these failures. 8t - SRAM cells and two-port memories is given. Section As integrated circuits are growing rapidly in component III describes different failures in SRAM cell. Section IV density and scale, error detection and fault isolation have explains the BIST architecture. Section V shows the become more difficult and expensive. The decrease in cost redirection of faulty rows to spare rows. Section VI gives of manufacturing as against increase in cost of testing has the simulation results and finally in section VII we draw led to various approaches to design for diagnosability [l] [2] conclusions. and design for testability [3] [4]. Methods to improve reliability by providing internal fault diagnosis/tolerance with additional hardware are gaining importance. On the other hand, the introduced test area overhead must be kept as low as possible. In particular, a duplication of the entire memory © 2011 ACEEE 18 DOI: 01.IJCom.02.01.123
  • 2. ACEEE Int. J. on Communication, Vol. 02, No. 01, Mar 2011 II.REVIEW OF SRAM CELLS pattern generator. Theselection choice is done with T / N input The conventional static random access memory which is used to select test input address and test input data. (SRAM) cell consists of 6 transistors (6T). To meet the In this design it is assumed a BIST controller is present which expected demands of parallel or pipelined microprocessors generates the T / N signal and test pattern generator which and for increased data throughput multi-port SRAMs are generates necessary inputs for SRAM array. The BIST often used. Multi-port SRAMs are implemented using SRAM controller will signal the end of testing process by making due to its fast operation and the ability to support multiple read the signal T / N low. and write operations simultaneously. A multi-port memory, such as two-port memory as shown in fig. 1 is actually a single memory cell with two entirely independent sets of data, ad- dress and control lines. Such a memory can be written or read from two different paths. By using two-port SRAMs, the effi- ciency of the memory accesses can be doubled. In the two-port memories, the read and write ports are completely independent and can access any location simultaneously (but simultaneous access to same cell by both ports cannot happen). In this paper, we study the faults occurring in the two-port SRAM cells. The memory is word-oriented and is modelled at the transistor level. The circuit operation is studied during presence of fault. A set of test patterns are applied to detect the faults. A failure in any of the cells in a row of the memory will make that row faulty and entire row is isolated and then redirected. Fig 1. Two-port SRAM cell III.FAILURE MECHANISM IN SRAM Process variations in SRAM cells cause one of the following failures - access failure, read failure, write failure and hold- Fig 2. SRAM array with BIST and comparison circuit, isolation circuit stability failure. Read failure and hold-stability failure occur in and redirection circuit the presence of excessive variations in device parameters The test results are collected in the isolation circuit block coupled with increased disturbance to the cell and very low of the design, which determine whether the selected row in supply voltages. The dynamic stability of the cell during the SRAM array is fault-free or faulty. It also generates necessary read and write operation is defined by the robustness of the cell signals to redirect those lines to the spare array rows. Fig. 2 against threshold voltage variations. Write failure and access shows the entire architecture used in this design. failure may result even in the presence of slight variations. Employing aggressive timing and low supply voltages leads V.FAULT DETECTION AND REDIRECTION to these failures which have more concern. CIRCUITS IV.BIST ARCHITECTURE a) Fault Detection Built In Self Test allows performing self test by A comparison circuit is used to detect the faults in designing and integrating additional hardware and software SRAM. The fault in SRAM can occur due to any one of the features in memory arrays. BIST makes the testing of a chip above reasons discussed in Section III. But the fault is easier, faster, more efficient, and less costly. The Built-in self detected only when a row is read. In this design a row of test is done at every power on. In the proposed architecture as SRAM array is written and is read in the following cycle. shown in fig. 2 the T / N signal initiates the testing process. The The input data and the data read during read multiplexers isolate all the SRAM inputs and select either operation are compared to detect the fault. A bit-wise normal system inputs or test inputs generated by the test © 2011 ACEEE 19 DOI: 01.IJCom.02.01.123
  • 3. ACEEE Int. J. on Communication, Vol. 02, No. 01, Mar 2011 comparison between input data (which should be delayed consideration, only one faulty row occurs within a block for 1-Clk) and sense amplifier outputs is done. An error in and it can be redirected to one of the 2k spare locations. single SRAM cell will make the entire row faulty. The Fig. 4 shows the circuit implementation of redirecting generated fault signal determines the fault status of the row. faulty locations to spare locations. The redirection logic (R.L) will redirect the faulty row address to one of the spare b) Fault isolation of the failing row locations. The design has minimum hardware overhead and When fault = 1, the row is detected as faulty. This the access delay due to additional hardware is also kept low. fault signal is directed to all the isolations circuits. To mark the particular faulty row it is ANDed with WL_read (the row from VI.SIMULATION RESULTS which the read operation occurred). The resulting signal, from All the simulations were carried out in HSPICE with the isolation circuit module will mark the row as faulty through 1V supply voltage using the Predictive Technology Model the fault signal. The pointers are updated to invalidate the row. (PTM) [16] files at 65nm technology node. The isolation of row would mean the wordlines for write and read of that row are permanently grounded and the row can never be accessed. The disconnect logic is implemented using switches. The hardware implementation of isolation circuit is shown in fig. 3. Fig. 3. Isolation circuit used to isolate the faulty rows For each wordline the above shown isolation circuit will be used and the output of it will control the switches which direct the decoded input address to normal row (in fault-free Fig. 5 Read failure of a cell causing incorrect read operation (incorrect condition) or spare row (in faulty condition). The fault status sense amplifier output) of the row is stored in the inverters and can be used for further analysis. c) Repair using Redundancy Redundancy is to provide multiple identical instances of the same system and switching to one of the remaining instances in case of a failure. When a failure occurs, the system must be able to isolate the failed component. This requires the addition of dedicated failure detection mechanisms and redirection logic to redirect to spare locations. Fig. 6 The fault signal and wordlines before and after detection of fault Fig. 4 Redirection circuit to redirect faulty locations to spare locations For the simulation a fault is introduced in bit#0 of n k row#0 in SRAM array. w_dadd_0 and r_dadd_0 are the ad- Consider an SRAM array with 2 rows and 2 spare rows dress decoder outputs for write port and read port of row#0. where k << n. The 2n rows are divided into 2k blocks. Any When they are high, the respective wordlines, WL_Write_0 fault in one of the rows in those 2k blocks (each of size 2n-k) and WL_Read_0 are made high and the row is accessed for will be redirected to one of the 2k spare locations. It is write and read respectively. During second time read of assumed the faults are normally distributed and during each © 2011 ACEEE 20 DOI: 01.IJCom.02.01.123
  • 4. ACEEE Int. J. on Communication, Vol. 02, No. 01, Mar 2011 row#0 the data in the cell ( Q and Q ) is flipped causing read [6] G. Gielen et al., “Analog and digital circuit design in 65 nm failure. The sense amplifier output is wrong and does not CMOS: end of the road?”, in Proceedings of the Design, Automation and Test in Europe, 2005 match with the delayed input. Hence row is found faulty [7] D.P. Siewiorek and R.S. Swarz, “The Theory and Practice of and the fault signal goes high, indicating the fault. It can be Reliable System Design”, Digital Press, 1982 observed that in the following cycles for the valid input ad- [8] S. R. Nassif “Modeling and Analysis of manufacturing dress for row#0, the WL_Write_0 and WL_Read_0 remain variation” in Proc. CICC, 2001 pp/ 223-228 low i.e., the row contents are not accessed, but instead the [9] S. Borkar, T. Karnik, et al., “Process Variation and impact on wordlines (for write and read ports) of spare row are raised circuits and micro architectures,” in Proc DAC 2003 pp338- high and it is used. 342 [10] S. Mukhopadhyay, et al., “Statistical design and optimization VII.CONCLUSION of SRAM cell for yield enhancement,” in Proc. Int. Conf. Computer Aided Design (ICACD), Nov. 2004, pp. 10–13. A built-in self repair for word-oriented SRAM is [11] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, “Modeling proposed. The fault detection is done using built-in self test and estimation of failure probability due to parameter and comparison logic. The isolation circuit has isolated the variations in nano-scale SRAM’s for yield enhancement,” in faulty rows from the array. Repair is achieved by redirecting Proc. VLSI Circuit Symp., Jun. 2004, pp. 64–67 faulty rows to the spare rows using redirection circuit. [12] Stanley Schuster,”Multiple Word/Bit Line Redundancy for Semiconductor Memories”, IEEE Journal of Solid State Circuits, Vol.SC-13, No.5, October 1978, pp.698-703. REFERENCES [13] T. Mano and M. Wada and N. Ieda, M. Tanimoto, “A [1] H. Ma and Y. Liu, “Design for diagnosable multiple-output Redundancy Circuit for a Fault-Tolerant 256K MOS RAM”, digital systems,” in Proc. IEEE VLSI Test Symposium, IEEE IEEE Journal of Solid State Circuits, Vol.SC-17, No.4, August Computer Society Press, Atlantic City, NJ, April 15 -17,1991, 1982, pp.726-731. pp.204-209. [14] V. Schober and S. Paul and O. Picot, “Memory Built-In Self- [2] H. Ma and Y. Liu, “Efficient placement of error checkers in Repair using redundant word”, IEEE International Test VLSI systems,” in Proc. Test Engineering Conference, Atlanta, Conference, 2001, pp.995-1001. GA, June 25-27, 1991, pp.103-111. [15] A. Tanabe and T. Takeshima and H. Koike and Y. Amimoto [3] H. Ma and T. N. Raiashekhara, “A testable design of and M. Takada and et. al, “A 30-ns 64-Mb DRAM with Built- semiconductor RAMS,” d Roc. The 30th Midwest Conference in Self-Test and Self- Repair Function”, IEEE Journal of Solid on Wts and Systems, Syracuse., NY, 1987, pp.540-543. State Circuits, Vol.27, No.11, November 1992, pp.1525-1533. [4] T. W. Williams and K P. Parker, “Design for testability – a [16] Berkeley Predictive Technology Model website, http:// survey,” IEEE Trans. Comput., C-31, No.1, Jan. 1982, pp.2- www.eas.asu.edu/~ptm/ 15. [5] A. Bhavanagarwala, X. Tang, and J. Meindl, “The impact of intrinsic device fluctuations on CMOS SRAM cell stability,” IEEE J. Solid State Circuits, vol. 36, no. 4, pp. 658-665, Apr. 2001. © 2011 ACEEE 21 DOI: 01.IJCom.02.01.123