SlideShare ist ein Scribd-Unternehmen logo
1 von 4
SEP

DGEST
INSTITUTO

TECNOLÓGICO

SNEST

DE

MATAMOROS

DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

Diseño Digital con VHDL
Equipo:

Alumno(s):

Núm. de control:

Mario Arturo Cruz Colunga

11260077

Miguel Angel Fierros Peña

11260081

Hermenegildo Martínez de la Cruz

11260095

Jorge Alejandro Reyes Torres

11260108

H. MATAMOROS, TAM.

17 de septiembre del 2013
Practica 3
Objetivo:
Implementar un decodificador bcd a 7 segmentos utilizando vhdl
Marco teórico:
Un decodificador bcd-7 segmentos es un circuito que convierte un número BCD en
un código utilizado por los display de 7 segmentos para mostrar el
númerocorrespondientea la combinación de entrada.

Material:
Laptop
Kit spartan3e
Software aldec HDL, xilinx ISE, adept.

Procedimiento:
Se crea nuevo proyecto en aldec HDL
Se escribe el código VHDL en el nuevo proyecto
Código VHDL del decodificador BCD a 7 segmentos
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity bcd7seg is
port(
A: in STD_LOGIC_VECTOR(3 downto 0);
ANN: out bit;
G: out STD_LOGIC_VECTOR(6 downto 0)
);
end bcd7seg;

architecture bcd7seg of bcd7seg is
begin
process(A)
begin
ANN<='0';
case A is
when "0000" =>G<="1000000";
when "0001" =>G<="1111001";
when "0010" =>G<="0100100";
when "0011" =>G<="0110000";
when "0100" =>G<="0011001";
when "0101" =>G<="0010010";
when "0110" =>G<="0000010";
when "0111" =>G<="1111000";
when "1000" =>G<="0000000";
when "1001" =>G<="0010000";
whenothers =>G<="1111111";
end case;
endprocess;
end
bcd7seg;

Observaciones y conclusiones:
En el momento en que se implementó el código a el BASYS2 se pudo notar un efecto espejo al
poner los números por primera vez. Por lo que solamente las combinaciones se modificaron para
que los números aparecieran correctamente.
Reporte vhdl3

Weitere ähnliche Inhalte

Andere mochten auch

8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFTpuridiplomaticgreensresale
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiDavid
 
Les: lupus eritematoso
Les: lupus eritematosoLes: lupus eritematoso
Les: lupus eritematosojorge91
 
Amor
AmorAmor
AmorDavid
 
virus informatica
virus informaticavirus informatica
virus informaticarochyb
 
LINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDESLINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDESLinzTourismus
 
04 montageanleitung _carport_0v5
04 montageanleitung _carport_0v504 montageanleitung _carport_0v5
04 montageanleitung _carport_0v5Brigitte Petzoldt
 
FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012Jorge Llosa
 
Administración
AdministraciónAdministración
Administraciónjowell_29
 
Los tejidos
Los tejidosLos tejidos
Los tejidosDavid
 
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringLucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringDominik Schürmann
 
LOS BALCONES DE LIMA
LOS BALCONES DE LIMALOS BALCONES DE LIMA
LOS BALCONES DE LIMAJorge Llosa
 
TNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorTNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorLOC Place
 
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02garayfannycecilia
 
Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014Sabine Stoessel
 

Andere mochten auch (20)

8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio Uzcategui
 
Les: lupus eritematoso
Les: lupus eritematosoLes: lupus eritematoso
Les: lupus eritematoso
 
Amor
AmorAmor
Amor
 
Wirlebenac final
Wirlebenac finalWirlebenac final
Wirlebenac final
 
virus informatica
virus informaticavirus informatica
virus informatica
 
Materiales textiles
Materiales textilesMateriales textiles
Materiales textiles
 
LINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDESLINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDES
 
04 montageanleitung _carport_0v5
04 montageanleitung _carport_0v504 montageanleitung _carport_0v5
04 montageanleitung _carport_0v5
 
YA SOY VIEJO
YA SOY VIEJOYA SOY VIEJO
YA SOY VIEJO
 
FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012
 
Administración
AdministraciónAdministración
Administración
 
Los tejidos
Los tejidosLos tejidos
Los tejidos
 
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringLucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
 
LOS BALCONES DE LIMA
LOS BALCONES DE LIMALOS BALCONES DE LIMA
LOS BALCONES DE LIMA
 
Imuptd 120201 webinar
Imuptd 120201 webinarImuptd 120201 webinar
Imuptd 120201 webinar
 
TNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorTNS Walter Freese, Associate Director
TNS Walter Freese, Associate Director
 
Noticiero
NoticieroNoticiero
Noticiero
 
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
 
Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014
 

Ähnlich wie Reporte vhdl3

Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_Keny Hans
 
Codificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseCodificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseronnyranv
 
Manual completo de CPLD
Manual completo de CPLDManual completo de CPLD
Manual completo de CPLDAdan Aguirre
 
Proyecto arduino medidor de temperatura
Proyecto arduino medidor de temperaturaProyecto arduino medidor de temperatura
Proyecto arduino medidor de temperaturaAngel Raygoza Trejo
 
APLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200EAPLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200ERafael Garcia
 
Informe de proyecto final de control discreto
Informe de proyecto final de control discretoInforme de proyecto final de control discreto
Informe de proyecto final de control discretoSamuel Guiza Jerez
 
Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Freddy Cuasapaz
 
Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado SANTIAGO PABLO ALBERTO
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificadorEver Omar Nolasco
 
3.4 matriz de le ds
3.4 matriz de le ds3.4 matriz de le ds
3.4 matriz de le dsSNPP
 
Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales SANTIAGO PABLO ALBERTO
 
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLElectrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLSANTIAGO PABLO ALBERTO
 
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOFernando Marcos Marcos
 

Ähnlich wie Reporte vhdl3 (20)

Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_
 
Codificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseCodificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant jose
 
Dimmer- Digital
Dimmer- Digital Dimmer- Digital
Dimmer- Digital
 
Dimmer digital con Arduino
Dimmer digital con ArduinoDimmer digital con Arduino
Dimmer digital con Arduino
 
Netduino
NetduinoNetduino
Netduino
 
Manual completo de CPLD
Manual completo de CPLDManual completo de CPLD
Manual completo de CPLD
 
Proyecto arduino medidor de temperatura
Proyecto arduino medidor de temperaturaProyecto arduino medidor de temperatura
Proyecto arduino medidor de temperatura
 
APLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200EAPLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200E
 
Informe de proyecto final de control discreto
Informe de proyecto final de control discretoInforme de proyecto final de control discreto
Informe de proyecto final de control discreto
 
Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Informe practica ecuaciones # 6
Informe practica ecuaciones # 6
 
Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado
 
Proyecto de arduino
Proyecto de arduinoProyecto de arduino
Proyecto de arduino
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
 
Control de motor (eje flexible)
Control de motor (eje flexible)Control de motor (eje flexible)
Control de motor (eje flexible)
 
Control de motor (eje flexible)
Control de motor (eje flexible)Control de motor (eje flexible)
Control de motor (eje flexible)
 
3.4 matriz de le ds
3.4 matriz de le ds3.4 matriz de le ds
3.4 matriz de le ds
 
Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales
 
Iot (3)
Iot (3)Iot (3)
Iot (3)
 
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLElectrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
 
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
 

Mehr von Miguel Angel Peña

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Miguel Angel Peña
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Miguel Angel Peña
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionMiguel Angel Peña
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO QMiguel Angel Peña
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y forMiguel Angel Peña
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serieMiguel Angel Peña
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaMiguel Angel Peña
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Miguel Angel Peña
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Miguel Angel Peña
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Miguel Angel Peña
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Miguel Angel Peña
 

Mehr von Miguel Angel Peña (20)

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)
 
Reporte vhd11
Reporte vhd11Reporte vhd11
Reporte vhd11
 
Reporte vhdl8
Reporte vhdl8Reporte vhdl8
Reporte vhdl8
 
Reporte vhdl7
Reporte vhdl7Reporte vhdl7
Reporte vhdl7
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Reporte vhdl5
Reporte vhdl5Reporte vhdl5
Reporte vhdl5
 
Practica 2 vdhl
Practica 2 vdhlPractica 2 vdhl
Practica 2 vdhl
 
Numeros primos
Numeros primosNumeros primos
Numeros primos
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
 
Funciones programacion
Funciones programacionFunciones programacion
Funciones programacion
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y for
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)
 

Kürzlich hochgeladen

Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...Katherine Concepcion Gonzalez
 
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdfPlan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdfcarolinamartinezsev
 
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACIONRESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACIONamelia poma
 
FICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdf
FICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdfFICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdf
FICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdfRaulGomez822561
 
Prueba de evaluación Geografía e Historia Comunidad de Madrid 2º de la ESO
Prueba de evaluación Geografía e Historia Comunidad de Madrid 2º de la ESOPrueba de evaluación Geografía e Historia Comunidad de Madrid 2º de la ESO
Prueba de evaluación Geografía e Historia Comunidad de Madrid 2º de la ESOluismii249
 
Actividades para el 11 de Mayo día del himno.docx
Actividades para el 11 de Mayo día del himno.docxActividades para el 11 de Mayo día del himno.docx
Actividades para el 11 de Mayo día del himno.docxpaogar2178
 
La Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración AmbientalLa Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración AmbientalJonathanCovena1
 
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).pptPINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).pptAlberto Rubio
 
Desarrollo y Aplicación de la Administración por Valores
Desarrollo y Aplicación de la Administración por ValoresDesarrollo y Aplicación de la Administración por Valores
Desarrollo y Aplicación de la Administración por ValoresJonathanCovena1
 
Tema 10. Dinámica y funciones de la Atmosfera 2024
Tema 10. Dinámica y funciones de la Atmosfera 2024Tema 10. Dinámica y funciones de la Atmosfera 2024
Tema 10. Dinámica y funciones de la Atmosfera 2024IES Vicent Andres Estelles
 
Posición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptxPosición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptxBeatrizQuijano2
 
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIASISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIAFabiolaGarcia751855
 
Factores que intervienen en la Administración por Valores.pdf
Factores que intervienen en la Administración por Valores.pdfFactores que intervienen en la Administración por Valores.pdf
Factores que intervienen en la Administración por Valores.pdfJonathanCovena1
 
Código Civil de la República Bolivariana de Venezuela
Código Civil de la República Bolivariana de VenezuelaCódigo Civil de la República Bolivariana de Venezuela
Código Civil de la República Bolivariana de Venezuelabeltranponce75
 
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLAACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLAJAVIER SOLIS NOYOLA
 
Concepto y definición de tipos de Datos Abstractos en c++.pptx
Concepto y definición de tipos de Datos Abstractos en c++.pptxConcepto y definición de tipos de Datos Abstractos en c++.pptx
Concepto y definición de tipos de Datos Abstractos en c++.pptxFernando Solis
 
Tema 19. Inmunología y el sistema inmunitario 2024
Tema 19. Inmunología y el sistema inmunitario 2024Tema 19. Inmunología y el sistema inmunitario 2024
Tema 19. Inmunología y el sistema inmunitario 2024IES Vicent Andres Estelles
 

Kürzlich hochgeladen (20)

Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
 
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdfPlan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
 
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACIONRESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
 
FICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdf
FICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdfFICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdf
FICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdf
 
Tema 11. Dinámica de la hidrosfera 2024
Tema 11.  Dinámica de la hidrosfera 2024Tema 11.  Dinámica de la hidrosfera 2024
Tema 11. Dinámica de la hidrosfera 2024
 
Prueba de evaluación Geografía e Historia Comunidad de Madrid 2º de la ESO
Prueba de evaluación Geografía e Historia Comunidad de Madrid 2º de la ESOPrueba de evaluación Geografía e Historia Comunidad de Madrid 2º de la ESO
Prueba de evaluación Geografía e Historia Comunidad de Madrid 2º de la ESO
 
Actividades para el 11 de Mayo día del himno.docx
Actividades para el 11 de Mayo día del himno.docxActividades para el 11 de Mayo día del himno.docx
Actividades para el 11 de Mayo día del himno.docx
 
La Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración AmbientalLa Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración Ambiental
 
Supuestos_prácticos_funciones.docx
Supuestos_prácticos_funciones.docxSupuestos_prácticos_funciones.docx
Supuestos_prácticos_funciones.docx
 
Interpretación de cortes geológicos 2024
Interpretación de cortes geológicos 2024Interpretación de cortes geológicos 2024
Interpretación de cortes geológicos 2024
 
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).pptPINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
 
Desarrollo y Aplicación de la Administración por Valores
Desarrollo y Aplicación de la Administración por ValoresDesarrollo y Aplicación de la Administración por Valores
Desarrollo y Aplicación de la Administración por Valores
 
Tema 10. Dinámica y funciones de la Atmosfera 2024
Tema 10. Dinámica y funciones de la Atmosfera 2024Tema 10. Dinámica y funciones de la Atmosfera 2024
Tema 10. Dinámica y funciones de la Atmosfera 2024
 
Posición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptxPosición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptx
 
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIASISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
SISTEMA RESPIRATORIO PARA NIÑOS PRIMARIA
 
Factores que intervienen en la Administración por Valores.pdf
Factores que intervienen en la Administración por Valores.pdfFactores que intervienen en la Administración por Valores.pdf
Factores que intervienen en la Administración por Valores.pdf
 
Código Civil de la República Bolivariana de Venezuela
Código Civil de la República Bolivariana de VenezuelaCódigo Civil de la República Bolivariana de Venezuela
Código Civil de la República Bolivariana de Venezuela
 
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLAACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
 
Concepto y definición de tipos de Datos Abstractos en c++.pptx
Concepto y definición de tipos de Datos Abstractos en c++.pptxConcepto y definición de tipos de Datos Abstractos en c++.pptx
Concepto y definición de tipos de Datos Abstractos en c++.pptx
 
Tema 19. Inmunología y el sistema inmunitario 2024
Tema 19. Inmunología y el sistema inmunitario 2024Tema 19. Inmunología y el sistema inmunitario 2024
Tema 19. Inmunología y el sistema inmunitario 2024
 

Reporte vhdl3

  • 1. SEP DGEST INSTITUTO TECNOLÓGICO SNEST DE MATAMOROS DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Diseño Digital con VHDL Equipo: Alumno(s): Núm. de control: Mario Arturo Cruz Colunga 11260077 Miguel Angel Fierros Peña 11260081 Hermenegildo Martínez de la Cruz 11260095 Jorge Alejandro Reyes Torres 11260108 H. MATAMOROS, TAM. 17 de septiembre del 2013
  • 2. Practica 3 Objetivo: Implementar un decodificador bcd a 7 segmentos utilizando vhdl Marco teórico: Un decodificador bcd-7 segmentos es un circuito que convierte un número BCD en un código utilizado por los display de 7 segmentos para mostrar el númerocorrespondientea la combinación de entrada. Material: Laptop Kit spartan3e Software aldec HDL, xilinx ISE, adept. Procedimiento: Se crea nuevo proyecto en aldec HDL Se escribe el código VHDL en el nuevo proyecto
  • 3. Código VHDL del decodificador BCD a 7 segmentos library IEEE; use IEEE.STD_LOGIC_1164.all; entity bcd7seg is port( A: in STD_LOGIC_VECTOR(3 downto 0); ANN: out bit; G: out STD_LOGIC_VECTOR(6 downto 0) ); end bcd7seg; architecture bcd7seg of bcd7seg is begin process(A) begin ANN<='0'; case A is when "0000" =>G<="1000000"; when "0001" =>G<="1111001"; when "0010" =>G<="0100100"; when "0011" =>G<="0110000"; when "0100" =>G<="0011001"; when "0101" =>G<="0010010"; when "0110" =>G<="0000010"; when "0111" =>G<="1111000"; when "1000" =>G<="0000000"; when "1001" =>G<="0010000"; whenothers =>G<="1111111"; end case; endprocess; end bcd7seg; Observaciones y conclusiones: En el momento en que se implementó el código a el BASYS2 se pudo notar un efecto espejo al poner los números por primera vez. Por lo que solamente las combinaciones se modificaron para que los números aparecieran correctamente.