SlideShare ist ein Scribd-Unternehmen logo
1 von 20
DESIGN OF A NOVEL SINGLE-
ENDED, ROBUST 8T SRAM CELL
FOR ULTRA LOW-VOLTAGE,
APPLICATIONS
Presented By:
Rajesh Yadav
12ECP01P- M.Tech.(VLSI Design)
rajeshyadav@itmindia.edu
Thesis Phase - I
7th December 2013
Contents
• Objective
• Literature Survey
• Analysis of conventional 6T SRAM Cell
• Analysis of proposed 8T SRAM Cell
• References
2
rajeshyadav@itmindia.edu
Thesis Phase - I
• To overcome the bottleneck of 6T SRAM cell in
terms of low voltage operation.
• Design a single ended 8T SRAM cell with following
characteristics:
 Ultra Low Voltage operation
 Low power consumption
 Increased Write and Read Margin
3
Objective
rajeshyadav@itmindia.eduObjective Literature
Survey
6T SRAM Cell
Thesis Phase - I
• Most of the area of any SoC is consumed by memory.
• Minimum operating voltage of SRAM cell is 0.45V
reported till now as per my best Knowledge.
• Power dissipation will reduce, if we reduce its
operating voltage.
• As scale down the device (technology lower down)
leakage current is the major issue which causes great
power dissipation
4
Literature Survey
rajeshyadav@itmindia.eduObjective Literature
Survey
6T SRAM Cell
Thesis Phase - I
5
Analysis of 6T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Thesis Phase - I
6
Analysis of 6T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Operating Voltage = 1.8V
Thesis Phase - I
7
Analysis of 6T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Operating Voltage = 0.45V
Thesis Phase - I
8
Analysis of 6T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Operating Voltage = 0.40V
Thesis Phase - I
9
Analysis of 6T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Operating Voltage = 0.40V
Thesis Phase - I
10
Analysis of Proposed 8T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Thesis Phase - I
11
Analysis of Proposed 8T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Response of Single ended 8T cell at operating Voltage = 900mV
Operation Hold Write 0 Write 1 read
WL 1 0 0 0
WWL/WBL 0/0 1/0 1/1 0/0
RWL/RBL 0/1 0/1 0/1 1/1
Thesis Phase - I
12
Analysis of Proposed 8T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Response of Single ended 8T cell at operating Voltage = 340mV
Operation Hold Write 0 Write 1 read
WL 1 0 0 0
WWL/WBL 0/0 1/0 1/1 0/0
RWL/RBL 0/1 0/1 0/1 1/1
Thesis Phase - I
13
Analysis of Proposed 8T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Response of Single ended 8T cell at operating Voltage = 335mV
Operation Hold Write 0 Write 1 read
WL 1 0 0 0
WWL/WBL 0/0 1/0 1/1 0/0
RWL/RBL 0/1 0/1 0/1 1/1
Thesis Phase - I
14
Analysis of Proposed 8T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Response of Single ended 8T cell at operating Voltage = 335mV
Operation Hold Write 0 Write 1 read
WL 1 0 0 0
WWL/WBL 0/0 1/0 1/1 0/0
RWL/RBL 0/1 0/1 0/1 1/1
Thesis Phase - I
15
Analysis of Proposed 8T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
Butterfly curve of proposed 8T SRAM Cell (Hold State) – HSNM =130mV
0
0.05
0.1
0.15
0.2
0.25
0.3
0.35
0.4
0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4
q(Volt)
qb (Volt)
HSNM of 8T SRAM
HSNM =130mV
Thesis Phase - I
16
Analysis of Proposed 8T SRAM Cell
rajeshyadav@itmindia.eduLiterature survey
Current
Scenario
Requirements
ncurve of proposed 8T SRAM Cell
-1E-08
-5E-09
0
5E-09
1E-08
1.5E-08
2E-08
0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4
I(A)
q (Volt)
SVNM
WTI
WTV
Thesis Phase - I
[1] Koichi Takeda et al, “A Read Static Noise Margin Free SRAM cell for Low Vdd and High Speed Applications”, Solid-
State Circuits, IEEE Journal vol. 41, Jan.2006, Issue 1 , pp.113-121
[2] M.F. Chang et al., A Differential Data Aware Power-supplied (D2AP) 8T SRAM Cell with Expanded Write / Read
Stabilities for Lower VDDmin Applications. Symposium on VLSI Circuits Digest of Technical Papers, pp. 156–157
(2009a)
[3] M. Yabuuchi et al., A 45 nm 0.6 V Cross-Point 8T SRAM with Negative Biased Read/Write Assist, Symposium on
VLSI Circuits Digest of Technical Papers, pp. 158–159 (2009) 30 2 SRAM Bit Cell Optimization
[4] L. Chang et al., An 8T-SRAM for variability tolerance and low-voltage operation in high performances caches. IEEE
J. Solid-State Circuits, 43, 4, April (2008)
[5] B.H. Calhoun et al., A 256 k Sub threshold SRAM Using 65 nm CMOS. Proceedings of IEEE International Solid-
State Circuits Conference (ISSCC), pp. 628–629, Feb 2006
[6] T.H. Kim et al., A High-Density Sub threshold SRAM with Data–Independent Bitline Leakage and Virtual Ground
Replica Scheme. Proceedings of IEEE International Solid-State Circuits Conference (ISSCC), pp. 330–331, Feb
2007
[7] I.J. Chang et al., A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential Read scheme in 90
nm CMOS. IEEE J. Solid-State Circuits 44(2), 650–658 (2009b)
[8] J. Wu et al., A Large rVTH/VDD Tolerant Zigzag 8T SRAM with Area-Efficient Decoupled Differential
Technical Papers, pp. 101–102 (2010)
[9] T. Suzuki et al., 0.5 V, 150 MHz, Bulk-CMOS SRAM with Suspended Bit-Line Read Scheme, Proceedings of Sensing
and Fast Write-Back Scheme. Symposium on VLSI Circuits Digest of IEEE European Solid-State Circuits
Conference (ESSCIRC), pp. 354–357, Sept 2010
[10] V. Sharma et al., A 4.4 pJ/Access 80 MHz, 128 kbit variability resilient SRAM with multi-sized sense amplifier
redundancy. IEEE J. Solid-State Circuits, 46, 10 (2011a)
[11] H.Pilo,J.Barwin,G.Braceras,etal.,AnSRAMdesignin65-nmand45-nm technology nodes featuring read and write-
assist circuits to expand operating voltage, Symposiumon VLSI Circuits, Digest of Technical Papers, 2006, pp.15-16.
[12] A. Bhavn agarwala, S. Kosonocky, C. Radens, etal., Fluctuation limits and scaling opportunities for CMOS SRAM
cells, in: Proceedings of International Electron Devices Meeting (IEDM) Technical Digest, 2005, pp.659-662.
17
References
rajeshyadav@itmindia.eduTrade off
Expected
Outcomes References
Thesis Phase - I
[13] A. Bhavn agarwala, S. Kosonocky, Y. Chan, etal., Asub-600mV fluctuation tolerant 65nm CMOS SRAM array with
dynamic cell biasing, Symposium on VLSI Circuits Digest of Technical Papers, 2007, pp. 78–79.
[14] M. Yamaoka, N. Maeda, Y. Shinozaki, etal., Low-power embedded SRAM modules with expanded margins
forwriting, IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 2005, pp. 480–611.
[15] K. Zhang, U. Bhattacharya, Z. Chen, etal. A 3-GHz 70-MBSR AM in 65-nm CMOS technology with integrated
column-based dynamic power supply, IEEE J. Solid-State Circuits 41(1)(2006)146–151.
[16] S. Ohbayashi ,M. Yabuuchi, K.Nii ,etal., A 65-nm SoC embedded 6T-SRAM designed for manufactur ability with
read and write operation stabilizing circuits, IEEE J.Solid-State Circuits 42 (4) (2007) 820–829.
[17] K.Sohn,Y.H.Suh,Y.J.Son,etal.,A100-nmdouble-stacked500-MHz72-MB separate I/O synchronous SRAM with
automatic cell-bias scheme and adaptive block redundancy, IEEE International Solid-State Circuits Conference,
Digest of Technical Papers, 2008, pp.386–622.
[18] O .Hirabayashi ,A. Katayama, A. Suzuki, etal., Aprocess-variation-tolerant dual-power-supply SRAM with 0.179-
mm 2 cell in 40-nm CMOS using level-programmable wordline driver, IEEE International Solid-State Circuits
Conference, Digest of Technical Papers, 2009, pp. 458–459.
[19] Y.H.Chen, W.M.Chan, S.Y.Chou, etal., A 0.6-V 45-nm adaptive dual-rail SRAM compiler circuit design for lower
VDDmin VLSIs, Symposiumon VLSI Circuits Digest of Technical Papers, 2008, pp. 210–211.
[20] M. Khellah, N. S. Kim, J. Howard, etal., A 4.2-GHz 0.3-mm 2 256 KB dual-Vcc SRAM building blockin65-
nmCMOS,IEEEInternationalSolid-StateCircuits Conference, DigestofTechnicalPapers,2006,pp.2572–2581.
[21] [13]J.Davis,D.Plass,P.Bunce,etal.,A5.6GHz64-KBdual-readdatacacheforthe POWER6TM
processor,IEEEInternationalSolid-StateCircuitsConference, Digest ofTechnicalPapers,2006,pp.2564–2571.
[22] [14]J.Pille,C.Adams,T.Christensen,etal.,ImplementationoftheCELLbroadband engine ina65-
nmSOItechnologyfeaturingdual-supplySRAMarrays supporting 6GHzat1.3V,IEEEInternationalSolid-
StateCircuitsConference, Digest ofTechnicalPapers,2007,pp.322–606.
[23] [15] M. Yamaoka,K.Osada,K.Ishibashi,0.4-Vlogic–library-friendlySRAMarray using rectangular-
diffusioncellanddelta-boosted-arrayvoltagescheme,IEEE J. Solid-StateCircuits39(6)(2004)934–940.
[24] [16] F.S.Lai,C.F.Lee,On-chipvoltagedownconvertertoimproveSRAMread/write margin andstaticpowerforsub-
nanoCMOStechnology,IEEEJ.Solid-State Circuits 42(9)(2007)2061–2070.
18
References
rajeshyadav@itmindia.eduTrade off
Expected
Outcomes References
Thesis Phase - I
Thank You For Your
Kind Attention
19Thesis Phase - I
Open For Discussion
20
???
Pre-Thesis Presentation , Phase - I

Weitere ähnliche Inhalte

Was ist angesagt?

MRAM & Its Applications
MRAM & Its ApplicationsMRAM & Its Applications
MRAM & Its ApplicationsTariq Tauheed
 
Resistive RAM using Nanomaterials
Resistive RAM using NanomaterialsResistive RAM using Nanomaterials
Resistive RAM using NanomaterialsDebdut Sengupta
 
SiC: An advanced semiconductor material for power devices
SiC: An advanced semiconductor material for power devicesSiC: An advanced semiconductor material for power devices
SiC: An advanced semiconductor material for power devicesSOUMEN GIRI
 
Vlsi design and fabrication ppt
Vlsi design and fabrication  pptVlsi design and fabrication  ppt
Vlsi design and fabrication pptManjushree Mashal
 
High k dielectrics
High k dielectricsHigh k dielectrics
High k dielectricsSubash John
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Altera Corporation
 
Vlsi design notes(1st unit) according to vtu syllabus.(BE)
Vlsi  design notes(1st unit) according to vtu syllabus.(BE)Vlsi  design notes(1st unit) according to vtu syllabus.(BE)
Vlsi design notes(1st unit) according to vtu syllabus.(BE)instrumentation_vtu
 
EC6601 VLSI Design Memory Circuits
EC6601 VLSI Design   Memory CircuitsEC6601 VLSI Design   Memory Circuits
EC6601 VLSI Design Memory Circuitschitrarengasamy
 
Ic technology- Crystal structure and Crystal growth
Ic technology- Crystal structure and Crystal growthIc technology- Crystal structure and Crystal growth
Ic technology- Crystal structure and Crystal growthkriticka sharma
 
STT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence ApplicationsSTT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence ApplicationsDanny Sabour
 
EC6601 VLSI Design CMOS Fabrication
EC6601 VLSI Design   CMOS FabricationEC6601 VLSI Design   CMOS Fabrication
EC6601 VLSI Design CMOS Fabricationchitrarengasamy
 

Was ist angesagt? (20)

Sram technology
Sram technologySram technology
Sram technology
 
High k dielectric
High k dielectricHigh k dielectric
High k dielectric
 
MRAM & Its Applications
MRAM & Its ApplicationsMRAM & Its Applications
MRAM & Its Applications
 
High k dielectric
High k dielectricHigh k dielectric
High k dielectric
 
Resistive RAM using Nanomaterials
Resistive RAM using NanomaterialsResistive RAM using Nanomaterials
Resistive RAM using Nanomaterials
 
SiC: An advanced semiconductor material for power devices
SiC: An advanced semiconductor material for power devicesSiC: An advanced semiconductor material for power devices
SiC: An advanced semiconductor material for power devices
 
Memristor overview
Memristor overviewMemristor overview
Memristor overview
 
Vlsi design and fabrication ppt
Vlsi design and fabrication  pptVlsi design and fabrication  ppt
Vlsi design and fabrication ppt
 
VLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUETVLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUET
 
SoC: System On Chip
SoC: System On ChipSoC: System On Chip
SoC: System On Chip
 
High k dielectrics
High k dielectricsHigh k dielectrics
High k dielectrics
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
 
Vlsi design notes(1st unit) according to vtu syllabus.(BE)
Vlsi  design notes(1st unit) according to vtu syllabus.(BE)Vlsi  design notes(1st unit) according to vtu syllabus.(BE)
Vlsi design notes(1st unit) according to vtu syllabus.(BE)
 
Polymer Memory ppt
Polymer Memory pptPolymer Memory ppt
Polymer Memory ppt
 
1st Semester M Tech CMOS VLSI Design (Dec-2013) Question Papers
1st Semester M Tech CMOS VLSI Design (Dec-2013) Question Papers1st Semester M Tech CMOS VLSI Design (Dec-2013) Question Papers
1st Semester M Tech CMOS VLSI Design (Dec-2013) Question Papers
 
EC6601 VLSI Design Memory Circuits
EC6601 VLSI Design   Memory CircuitsEC6601 VLSI Design   Memory Circuits
EC6601 VLSI Design Memory Circuits
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Ic technology- Crystal structure and Crystal growth
Ic technology- Crystal structure and Crystal growthIc technology- Crystal structure and Crystal growth
Ic technology- Crystal structure and Crystal growth
 
STT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence ApplicationsSTT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence Applications
 
EC6601 VLSI Design CMOS Fabrication
EC6601 VLSI Design   CMOS FabricationEC6601 VLSI Design   CMOS Fabrication
EC6601 VLSI Design CMOS Fabrication
 

Andere mochten auch

Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellMr Santosh Kumar Chhotray
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
Summary Of Course Projects
Summary Of Course ProjectsSummary Of Course Projects
Summary Of Course Projectsawan2008
 
Sram Eagle™ 1x Drivetrains | Hargroves Cycles
Sram Eagle™ 1x Drivetrains | Hargroves CyclesSram Eagle™ 1x Drivetrains | Hargroves Cycles
Sram Eagle™ 1x Drivetrains | Hargroves CyclesHargroves Cycles
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project ReportJie Song
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSEditor IJMTER
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...Grace Abraham
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
Volatile memory
Volatile memoryVolatile memory
Volatile memorySimon Paul
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b EditadoTexas Instruments
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016CLAROZONTECHNOLOGIES
 

Andere mochten auch (20)

Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cell
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
Summary Of Course Projects
Summary Of Course ProjectsSummary Of Course Projects
Summary Of Course Projects
 
Sram Eagle™ 1x Drivetrains | Hargroves Cycles
Sram Eagle™ 1x Drivetrains | Hargroves CyclesSram Eagle™ 1x Drivetrains | Hargroves Cycles
Sram Eagle™ 1x Drivetrains | Hargroves Cycles
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
Low power sram
Low power sramLow power sram
Low power sram
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
Volatile memory
Volatile memoryVolatile memory
Volatile memory
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b Editado
 
Ch 6 randomization
Ch 6 randomizationCh 6 randomization
Ch 6 randomization
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
 

Ähnlich wie Design ultra-low voltage 8T SRAM cell

ZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxSiddhu0072
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET Journal
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
sram using finfet technology in spice.ppt
sram using finfet technology in spice.pptsram using finfet technology in spice.ppt
sram using finfet technology in spice.pptsa48001
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellIRJET Journal
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellIDES Editor
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 

Ähnlich wie Design ultra-low voltage 8T SRAM cell (20)

ZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptx
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Cx4301574577
Cx4301574577Cx4301574577
Cx4301574577
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
sram using finfet technology in spice.ppt
sram using finfet technology in spice.pptsram using finfet technology in spice.ppt
sram using finfet technology in spice.ppt
 
Af34193199
Af34193199Af34193199
Af34193199
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit Cell
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
30_Design.pdf
30_Design.pdf30_Design.pdf
30_Design.pdf
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 

Mehr von Team-VLSI-ITMU

Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
Reduced ordered binary decision diagram
Reduced ordered binary decision diagramReduced ordered binary decision diagram
Reduced ordered binary decision diagramTeam-VLSI-ITMU
 
Nmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolNmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolTeam-VLSI-ITMU
 
CAD: Layout Extraction
CAD: Layout ExtractionCAD: Layout Extraction
CAD: Layout ExtractionTeam-VLSI-ITMU
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanningTeam-VLSI-ITMU
 
Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionTeam-VLSI-ITMU
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global RoutingTeam-VLSI-ITMU
 
Cmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyCmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyTeam-VLSI-ITMU
 
All opam assignment2_main
All opam assignment2_mainAll opam assignment2_main
All opam assignment2_mainTeam-VLSI-ITMU
 
MOSFET Small signal model
MOSFET Small signal modelMOSFET Small signal model
MOSFET Small signal modelTeam-VLSI-ITMU
 
twin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADtwin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADTeam-VLSI-ITMU
 

Mehr von Team-VLSI-ITMU (18)

Intermediate Fabrics
Intermediate FabricsIntermediate Fabrics
Intermediate Fabrics
 
RTX Kernal
RTX KernalRTX Kernal
RTX Kernal
 
CNTFET
CNTFETCNTFET
CNTFET
 
scripting in Python
scripting in Pythonscripting in Python
scripting in Python
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Reduced ordered binary decision diagram
Reduced ordered binary decision diagramReduced ordered binary decision diagram
Reduced ordered binary decision diagram
 
Nmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolNmos design using synopsys TCAD tool
Nmos design using synopsys TCAD tool
 
Linux Basics
Linux BasicsLinux Basics
Linux Basics
 
CAD: Floorplanning
CAD: Floorplanning CAD: Floorplanning
CAD: Floorplanning
 
CAD: Layout Extraction
CAD: Layout ExtractionCAD: Layout Extraction
CAD: Layout Extraction
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanning
 
Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout Compaction
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
 
floor planning
floor planningfloor planning
floor planning
 
Cmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyCmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technology
 
All opam assignment2_main
All opam assignment2_mainAll opam assignment2_main
All opam assignment2_main
 
MOSFET Small signal model
MOSFET Small signal modelMOSFET Small signal model
MOSFET Small signal model
 
twin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADtwin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCAD
 

Kürzlich hochgeladen

(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduitsrknatarajan
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 

Kürzlich hochgeladen (20)

(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduits
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 

Design ultra-low voltage 8T SRAM cell

  • 1. DESIGN OF A NOVEL SINGLE- ENDED, ROBUST 8T SRAM CELL FOR ULTRA LOW-VOLTAGE, APPLICATIONS Presented By: Rajesh Yadav 12ECP01P- M.Tech.(VLSI Design) rajeshyadav@itmindia.edu Thesis Phase - I 7th December 2013
  • 2. Contents • Objective • Literature Survey • Analysis of conventional 6T SRAM Cell • Analysis of proposed 8T SRAM Cell • References 2 rajeshyadav@itmindia.edu Thesis Phase - I
  • 3. • To overcome the bottleneck of 6T SRAM cell in terms of low voltage operation. • Design a single ended 8T SRAM cell with following characteristics:  Ultra Low Voltage operation  Low power consumption  Increased Write and Read Margin 3 Objective rajeshyadav@itmindia.eduObjective Literature Survey 6T SRAM Cell Thesis Phase - I
  • 4. • Most of the area of any SoC is consumed by memory. • Minimum operating voltage of SRAM cell is 0.45V reported till now as per my best Knowledge. • Power dissipation will reduce, if we reduce its operating voltage. • As scale down the device (technology lower down) leakage current is the major issue which causes great power dissipation 4 Literature Survey rajeshyadav@itmindia.eduObjective Literature Survey 6T SRAM Cell Thesis Phase - I
  • 5. 5 Analysis of 6T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Thesis Phase - I
  • 6. 6 Analysis of 6T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Operating Voltage = 1.8V Thesis Phase - I
  • 7. 7 Analysis of 6T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Operating Voltage = 0.45V Thesis Phase - I
  • 8. 8 Analysis of 6T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Operating Voltage = 0.40V Thesis Phase - I
  • 9. 9 Analysis of 6T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Operating Voltage = 0.40V Thesis Phase - I
  • 10. 10 Analysis of Proposed 8T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Thesis Phase - I
  • 11. 11 Analysis of Proposed 8T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Response of Single ended 8T cell at operating Voltage = 900mV Operation Hold Write 0 Write 1 read WL 1 0 0 0 WWL/WBL 0/0 1/0 1/1 0/0 RWL/RBL 0/1 0/1 0/1 1/1 Thesis Phase - I
  • 12. 12 Analysis of Proposed 8T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Response of Single ended 8T cell at operating Voltage = 340mV Operation Hold Write 0 Write 1 read WL 1 0 0 0 WWL/WBL 0/0 1/0 1/1 0/0 RWL/RBL 0/1 0/1 0/1 1/1 Thesis Phase - I
  • 13. 13 Analysis of Proposed 8T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Response of Single ended 8T cell at operating Voltage = 335mV Operation Hold Write 0 Write 1 read WL 1 0 0 0 WWL/WBL 0/0 1/0 1/1 0/0 RWL/RBL 0/1 0/1 0/1 1/1 Thesis Phase - I
  • 14. 14 Analysis of Proposed 8T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Response of Single ended 8T cell at operating Voltage = 335mV Operation Hold Write 0 Write 1 read WL 1 0 0 0 WWL/WBL 0/0 1/0 1/1 0/0 RWL/RBL 0/1 0/1 0/1 1/1 Thesis Phase - I
  • 15. 15 Analysis of Proposed 8T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements Butterfly curve of proposed 8T SRAM Cell (Hold State) – HSNM =130mV 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 q(Volt) qb (Volt) HSNM of 8T SRAM HSNM =130mV Thesis Phase - I
  • 16. 16 Analysis of Proposed 8T SRAM Cell rajeshyadav@itmindia.eduLiterature survey Current Scenario Requirements ncurve of proposed 8T SRAM Cell -1E-08 -5E-09 0 5E-09 1E-08 1.5E-08 2E-08 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 I(A) q (Volt) SVNM WTI WTV Thesis Phase - I
  • 17. [1] Koichi Takeda et al, “A Read Static Noise Margin Free SRAM cell for Low Vdd and High Speed Applications”, Solid- State Circuits, IEEE Journal vol. 41, Jan.2006, Issue 1 , pp.113-121 [2] M.F. Chang et al., A Differential Data Aware Power-supplied (D2AP) 8T SRAM Cell with Expanded Write / Read Stabilities for Lower VDDmin Applications. Symposium on VLSI Circuits Digest of Technical Papers, pp. 156–157 (2009a) [3] M. Yabuuchi et al., A 45 nm 0.6 V Cross-Point 8T SRAM with Negative Biased Read/Write Assist, Symposium on VLSI Circuits Digest of Technical Papers, pp. 158–159 (2009) 30 2 SRAM Bit Cell Optimization [4] L. Chang et al., An 8T-SRAM for variability tolerance and low-voltage operation in high performances caches. IEEE J. Solid-State Circuits, 43, 4, April (2008) [5] B.H. Calhoun et al., A 256 k Sub threshold SRAM Using 65 nm CMOS. Proceedings of IEEE International Solid- State Circuits Conference (ISSCC), pp. 628–629, Feb 2006 [6] T.H. Kim et al., A High-Density Sub threshold SRAM with Data–Independent Bitline Leakage and Virtual Ground Replica Scheme. Proceedings of IEEE International Solid-State Circuits Conference (ISSCC), pp. 330–331, Feb 2007 [7] I.J. Chang et al., A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential Read scheme in 90 nm CMOS. IEEE J. Solid-State Circuits 44(2), 650–658 (2009b) [8] J. Wu et al., A Large rVTH/VDD Tolerant Zigzag 8T SRAM with Area-Efficient Decoupled Differential Technical Papers, pp. 101–102 (2010) [9] T. Suzuki et al., 0.5 V, 150 MHz, Bulk-CMOS SRAM with Suspended Bit-Line Read Scheme, Proceedings of Sensing and Fast Write-Back Scheme. Symposium on VLSI Circuits Digest of IEEE European Solid-State Circuits Conference (ESSCIRC), pp. 354–357, Sept 2010 [10] V. Sharma et al., A 4.4 pJ/Access 80 MHz, 128 kbit variability resilient SRAM with multi-sized sense amplifier redundancy. IEEE J. Solid-State Circuits, 46, 10 (2011a) [11] H.Pilo,J.Barwin,G.Braceras,etal.,AnSRAMdesignin65-nmand45-nm technology nodes featuring read and write- assist circuits to expand operating voltage, Symposiumon VLSI Circuits, Digest of Technical Papers, 2006, pp.15-16. [12] A. Bhavn agarwala, S. Kosonocky, C. Radens, etal., Fluctuation limits and scaling opportunities for CMOS SRAM cells, in: Proceedings of International Electron Devices Meeting (IEDM) Technical Digest, 2005, pp.659-662. 17 References rajeshyadav@itmindia.eduTrade off Expected Outcomes References Thesis Phase - I
  • 18. [13] A. Bhavn agarwala, S. Kosonocky, Y. Chan, etal., Asub-600mV fluctuation tolerant 65nm CMOS SRAM array with dynamic cell biasing, Symposium on VLSI Circuits Digest of Technical Papers, 2007, pp. 78–79. [14] M. Yamaoka, N. Maeda, Y. Shinozaki, etal., Low-power embedded SRAM modules with expanded margins forwriting, IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 2005, pp. 480–611. [15] K. Zhang, U. Bhattacharya, Z. Chen, etal. A 3-GHz 70-MBSR AM in 65-nm CMOS technology with integrated column-based dynamic power supply, IEEE J. Solid-State Circuits 41(1)(2006)146–151. [16] S. Ohbayashi ,M. Yabuuchi, K.Nii ,etal., A 65-nm SoC embedded 6T-SRAM designed for manufactur ability with read and write operation stabilizing circuits, IEEE J.Solid-State Circuits 42 (4) (2007) 820–829. [17] K.Sohn,Y.H.Suh,Y.J.Son,etal.,A100-nmdouble-stacked500-MHz72-MB separate I/O synchronous SRAM with automatic cell-bias scheme and adaptive block redundancy, IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 2008, pp.386–622. [18] O .Hirabayashi ,A. Katayama, A. Suzuki, etal., Aprocess-variation-tolerant dual-power-supply SRAM with 0.179- mm 2 cell in 40-nm CMOS using level-programmable wordline driver, IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 2009, pp. 458–459. [19] Y.H.Chen, W.M.Chan, S.Y.Chou, etal., A 0.6-V 45-nm adaptive dual-rail SRAM compiler circuit design for lower VDDmin VLSIs, Symposiumon VLSI Circuits Digest of Technical Papers, 2008, pp. 210–211. [20] M. Khellah, N. S. Kim, J. Howard, etal., A 4.2-GHz 0.3-mm 2 256 KB dual-Vcc SRAM building blockin65- nmCMOS,IEEEInternationalSolid-StateCircuits Conference, DigestofTechnicalPapers,2006,pp.2572–2581. [21] [13]J.Davis,D.Plass,P.Bunce,etal.,A5.6GHz64-KBdual-readdatacacheforthe POWER6TM processor,IEEEInternationalSolid-StateCircuitsConference, Digest ofTechnicalPapers,2006,pp.2564–2571. [22] [14]J.Pille,C.Adams,T.Christensen,etal.,ImplementationoftheCELLbroadband engine ina65- nmSOItechnologyfeaturingdual-supplySRAMarrays supporting 6GHzat1.3V,IEEEInternationalSolid- StateCircuitsConference, Digest ofTechnicalPapers,2007,pp.322–606. [23] [15] M. Yamaoka,K.Osada,K.Ishibashi,0.4-Vlogic–library-friendlySRAMarray using rectangular- diffusioncellanddelta-boosted-arrayvoltagescheme,IEEE J. Solid-StateCircuits39(6)(2004)934–940. [24] [16] F.S.Lai,C.F.Lee,On-chipvoltagedownconvertertoimproveSRAMread/write margin andstaticpowerforsub- nanoCMOStechnology,IEEEJ.Solid-State Circuits 42(9)(2007)2061–2070. 18 References rajeshyadav@itmindia.eduTrade off Expected Outcomes References Thesis Phase - I
  • 19. Thank You For Your Kind Attention 19Thesis Phase - I
  • 20. Open For Discussion 20 ??? Pre-Thesis Presentation , Phase - I