SlideShare ist ein Scribd-Unternehmen logo
1 von 18
Downloaden Sie, um offline zu lesen
6/23/2014 © 2014 ANSYS, Inc. 1 
Methods for Achieving RTL to Gate 
Power Consistency 
Design Automation Conference 2014
6/23/2014 © 2014 ANSYS, Inc. 2 
PowerArtist™: RTL Design-for-Power Platform 
Power Analysis and Debug 
Original RTL Low-Power RTL 
Automated Power Reduction Links with Physical 
Physical 
Power 
RTL Power 
PACE RPM
6/23/2014 © 2014 ANSYS, Inc. 3 
Objectives of RTL Power Analysis 
• Power trade-off analysis using relative accuracy 
• Sign off power with absolute accuracy 
• Analysis driven power reduction 
0.00 
0.10 
0.20 
0.30 
0.40 
0.50 
0.60 
0.70 
0.80 
0.90 
1.00 
0.00 
0.10 
0.20 
0.30 
0.40 
0.50 
0.60 
0.70 
0.80 
0.90 
1.00 
1 11 21 31 41 51 61 71 81 91 101 111 121 131 141 151 161 171 181 191 201 211 221 231 241 251 261 271 281 291 
Cumulative Area 
Overhead 
(normalized) 
Total Power 
Savings Available 
(normalized) 
# RTL Changes (Design Effort) 
Maximum acceptable area 
impact 
Maximum possible 
power savings 
Only 5 changes 
gave 50% saving
6/23/2014 © 2014 ANSYS, Inc. 5 
RTL Power: Inputs for PowerArtist 
Vdd 
1 
Power domains 
(UPF / CPF) 
Vdd 
2 
module PA ( 
... 
always @ (posedge clk) begin 
dout <= din1; 
end 
assign out = sel ? dout : din2; 
... 
endmodule RTL 
(VHDL, Verilog, System Verilog) 
RTL Power 
Analysis 
Capacitance model 
(WLM / PACE) 
mux 
and 
register 
register 
Activity 
(FSDB / VCD / SAIF) 
Clock tree, gating 
(SDC, PACE, user input) 
clk 
Power models 
(Liberty .lib)
6/23/2014 © 2014 ANSYS, Inc. 6 
Factors Affecting RTL Power Accuracy 
Synthesis 
Modeling 
Inferencing 
Multi-VT 
Cell Selection 
Micro-architecture 
Algorithmic 
RTL Models 
Activity 
Propagation 
Timing 
Power 
Computation 
Physical 
Models 
Clock Tree 
Wire Cap 
Transition Time 
Low Power 
Structures 
Voltage / Power 
Domains 
CPF / UPF 
NOTE: Algorithmic and Low Power 
structures are not configured for 
accuracy
6/23/2014 © 2014 ANSYS, Inc. 7 
Synthesis Modeling Aspects for RTL Power 
• Optimization settings to be consistent as synthesis 
• Enable DesignWare flow (if DW components are present) Inferencing 
Multi-VT • Apply consistent multi-VT settings from synthesis 
• Fine-tune cell selection based on synthesis netlist 
• Apply boundary conditions based on load/ frequency Cell Selection 
• Apply microarchitectures for macros (e.g. adders, 
multipliers) Microarchitecture
6/23/2014 © 2014 ANSYS, Inc. 8 
Synthesis Modeling Aspects in PowerArtist 
b = 8’b11000100; 
assign z = a * b; 
CSA 
Constant Multipliers 
assign z = a + b + c + d ; a b c 
CSA d 
CSA 
+ 
a b 
+ c 
+ d 
+ 
Chains of Adders 
Look-Up Table Optimization 
OR 
plane 
address 
data 
case (address) 
8'd0 : data = {32'd0}; 
8'd1 : data = {32'd12}; 
… 
endcase 
address 
Optimized and-or plane by 
sharing common logic 
data 
Cell mapping to 
basic 2-input cells 
Modeled using 
AOIs 
Un-encoded mux
6/23/2014 © 2014 ANSYS, Inc. 9 
RTL Power Accuracy 
Using Wire Load Models 
– Large difference seen with 
simple wire load models 
– Clock and Combo power show 
the largest difference 
– Total power shows 40% 
difference wrt gate level 
Mobile SoC Case Study 
** Note: GATE considered to be most accurate 
28.8% 
11.0% 
-9.2% 
69.2% 
41.2% 
32.3% 
40.2% 
-100% 
-80% 
-60% 
-40% 
-20% 
0% 
20% 
40% 
60% 
80% 
100% 
0.000 
0.020 
0.040 
0.060 
0.080 
0.100 
0.120 
% Difference 
Power (Watts) 
RTL Wire Load Models vs. Gate Level 
(Different Power Categories) 
RTL WLM GATE %diff
6/23/2014 © 2014 ANSYS, Inc. 10 
Physical Aspects Modeling for Power 
• Modeling clock tree 
• Balanced and Clock Mesh topology Clock Tree 
• Accurately model post-layout wire capacitance 
• Model capacitance profile for different types of nets Wire Cap 
• Accurately model slew for realistic power 
• Both clock and logic nets Transition Time
6/23/2014 © 2014 ANSYS, Inc. 11 
Physical Modeling: Clock Tree 
• RTL clock power accuracy requirements 
– Understand clock gating methodology 
– Understand clock tree topology and buffering 
• Difficult for RTL designers to get data from backend team 
Balanced Clock Tree Clock Mesh Topology
6/23/2014 © 2014 ANSYS, Inc. 12 
Physical Modeling: Wire Cap 
40nm, 45k nets with fanout 1 
Traditional Wire Load Models 
• Not available in some vendor libraries; often not calibrated 
• Custom WLMs not portable across blocks and designs 
• Simplistic modeling results in poor accuracy 
WLM assigns 1fF for all nets vs. SPEF 
that varies 0.2fF to >129fF
6/23/2014 © 2014 ANSYS, Inc. 13 
PACE™ for RTL Power Accuracy 
PACE applies from RTL to Pre-layout Power 
• Clock tree models 
– Determine buffer and CG cells per inferred clock tree 
– Supports both balanced clock tree as well as clock mesh 
• Wire capacitance models 
– Granular, power-oriented vs. traditional WLMs 
module PA ( 
... 
always @ (posedge clk) 
begin 
dout <= din1; 
end 
assign out = sel ? dout : 
din2; 
... 
endmodule 
Clock distribution 
Parasitics 
Multiple Vt 
Low-power structures 
RTL Power 
Bridge the RTL ↔ Implementation Gap 
Statistical Models: 
Wire Cap and Clock 
Representative 
Layout 
PowerArtist 
Calibration (PACE) 
Post-Layout Power
6/23/2014 © 2014 ANSYS, Inc. 14 
-13.4% 
5.1% 
-9.2% 
22.8% 
8.1% 
-37.4% 
3.0% 
-100% 
-80% 
-60% 
-40% 
-20% 
0% 
20% 
40% 
60% 
80% 
100% 
0.000 
0.020 
0.040 
0.060 
0.080 
0.100 
0.120 
% Difference 
Power (Watts) 
PACE Cap Models vs. WLM & Gate Level 
(Different Power Categories) 
RTL WLM RTL w PACE Cap GATE %diff 
RTL Power Accuracy 
Using PACE Cap Models 
– Tighter correlation seen with 
PACE Cap models 
– Register and Combo power 
are within +/-20% 
– Total power shows <5% 
difference wrt gate level 
Mobile SoC Case Study 
** Note: GATE considered to be most accurate
6/23/2014 © 2014 ANSYS, Inc. 15 
RTL Power Accuracy 
Using PACE Cap + Clock Models 
– Best correlation seen with 
PACE Cap + Clock models 
– Overall correlation is within 
+/-15% 
Mobile SoC Case Study 
** Note: GATE considered to be most accurate 
-13.4% 
9.9% 
-9.2% 
-12.8% -9.0% -13.6% -9.4% 
-100.0% 
-80.0% 
-60.0% 
-40.0% 
-20.0% 
0.0% 
20.0% 
40.0% 
60.0% 
80.0% 
100.0% 
0.000 
0.020 
0.040 
0.060 
0.080 
0.100 
0.120 
% Difference 
Power (Watts) 
PACE Cap+Clk Models vs. WLM & Gate Level 
(Different Power Categories) 
RTL WLM RTL w PACE Cap+Clock GATE 
%diff w/ PACE %diff w/ WLM
6/23/2014 © 2014 ANSYS, Inc. 16 
0.000 
0.020 
0.040 
0.060 
0.080 
0.100 
0.120 
Design 1 Design 2 Design 3 
Power (Watts) 
Total Power Comparison 
RTL WLM RTL PACE GATE 
RTL Power Accuracy 
Using PACE Cap + Clock Models 
– Total power with WLM is 
greater than +/-30% 
– With PACE models within 
+/-20% 
Mobile SoC Blocks Case 
Study 
** Note: GATE considered to be most accurate
6/23/2014 © 2014 ANSYS, Inc. 17 
RTL Power Accuracy 
Using PACE Cap + Clock Models 
– Total power with WLM is 
greater than +/-30% 
– With PACE models within 
+/-20% 
Mobile SoC Blocks Case 
Study 
** Note: GATE considered to be most accurate 
– Clock power with PACE 
is within +/-20% as well 
15.5% 
19.0% 
20.7% 
0.0% 
5.0% 
10.0% 
15.0% 
20.0% 
25.0% 
0.00E+00 
1.00E-02 
2.00E-02 
3.00E-02 
4.00E-02 
5.00E-02 
6.00E-02 
7.00E-02 
8.00E-02 
Design 1 Design 2 Design 3 
% diff 
Power (Watts) 
Clock Power wrt RTL PACE vs. GATE 
GATE RTL PACE %diff
6/23/2014 © 2014 ANSYS, Inc. 18 
Nvidia Case Study: RTL Power Accuracy 
DESIGN 
Number of 
instances 
Black-boxed 
DW 
instances 
Avg 
Dynamic 
Power 
(mW) 
Avg 
Leakage 
Power 
(mW) 
Avg Total 
Power 
(mW) 
Avg 
Dynamic 
Power 
(mW) 
Avg 
Leakage 
Power 
(mW) 
Avg Total 
Power 
(mW) 
% 
Dynamic 
Power 
% Leakage 
Power 
% Total 
Power 
PR 580320 0 82.524 114.210 196.735 92.900 111.734 204.635 12.57% -2.17% 4.02% 
TD 268993 0 89.209 38.713 127.923 101.755 35.089 136.844 14.06% -9.36% 6.97% 
TTM 158407 14 64.828 21.353 86.181 63.583 20.212 83.795 -1.92% -5.34% -2.77% 
TTF 134152 64 47.850 14.874 62.724 32.563 13.431 45.995 -31.95% -9.70% -26.67% 
SMI 1137155 101 145.497 201.661 347.158 125.133 135.635 260.768 -14.00% -32.74% -24.88% 
SRF 509095 24 263.894 75.515 339.409 258.332 73.897 332.229 -2.11% -2.14% -2.12% 
115.634 77.721 193.355 112.378 65.000 177.378 -2.82% -16.37% -8.26% 
125.114 62.448 187.562 129.143 60.233 189.376 3.22% -3.55% 0.97% 
85.867 76.462 162.329 97.328 73.412 170.739 13.35% -3.99% 5.18% 
Average Power excluding SMI/TTF 
Average Power PR/TD only 
Post-synthesis PT-PX RTL Power Artist 
RTL Power Artist vs 
Post-synthesis PT-PX 
Average Power overall designs 
• Power correlation performed for 6 designs 130K - 1.13M instances 
• In general, very good average power correlation observed (SMI and TTF having DWs) 
• 8-16 tests being run across the blocks 
** Source : Nvidia-Apache Webinar, July 2013 (Miki)
6/23/2014 © 2014 ANSYS, Inc. 19 
Summary 
• RTL power enables early design trade offs for high power impact 
• PowerArtist provides predictable RTL power accuracy wrt GATE 
• PowerArtist has advanced synthesis and physical modeling techniques 
• PowerArtist PACE modeling is proven across designs 
• Use PowerArtist for RTL power sign-off with absolute accuracy

Weitere ähnliche Inhalte

Was ist angesagt?

Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_final
JITENDER -
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08
Obsidian Software
 

Was ist angesagt? (20)

Soc lect1
Soc lect1Soc lect1
Soc lect1
 
Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_final
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08
 
IMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEY
IMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEYIMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEY
IMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEY
 
Test Bench Development
Test Bench DevelopmentTest Bench Development
Test Bench Development
 
Clock Skew 2
Clock Skew 2Clock Skew 2
Clock Skew 2
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
SOC design
SOC design SOC design
SOC design
 
System on Chip (SoC)
System on Chip (SoC)System on Chip (SoC)
System on Chip (SoC)
 
Lec13
Lec13Lec13
Lec13
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
 
verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
 
System on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phonesSystem on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phones
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 

Andere mochten auch

Andere mochten auch (17)

Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal Designs
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna Placement
 
ANSYS Corporate Overview
ANSYS Corporate OverviewANSYS Corporate Overview
ANSYS Corporate Overview
 
Automotive Sensor Simulation
Automotive Sensor SimulationAutomotive Sensor Simulation
Automotive Sensor Simulation
 
FD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin PresentationFD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin Presentation
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
 
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
 
Benefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationBenefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering Simulation
 
ANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone Failure
 
Molex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysMolex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using Ansys
 
CAE-Based Strategies to Improve Reliability of Variable Oil Pumps
CAE-Based Strategies to Improve Reliability of Variable Oil PumpsCAE-Based Strategies to Improve Reliability of Variable Oil Pumps
CAE-Based Strategies to Improve Reliability of Variable Oil Pumps
 
Ch01
Ch01Ch01
Ch01
 
ANSYS Workbench
ANSYS WorkbenchANSYS Workbench
ANSYS Workbench
 
ANSYS Brake Simulation
ANSYS Brake SimulationANSYS Brake Simulation
ANSYS Brake Simulation
 
Ansys tutorial
Ansys tutorialAnsys tutorial
Ansys tutorial
 

Ähnlich wie Methods for Achieving RTL to Gate Power Consistency

Next Generation Researchers in Power Systems_Tao Yang_UCD EI
Next Generation Researchers in Power Systems_Tao Yang_UCD EINext Generation Researchers in Power Systems_Tao Yang_UCD EI
Next Generation Researchers in Power Systems_Tao Yang_UCD EI
Tao Yang
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
Saurabh Dighe
 
AMSC D-VAR® Model for PSSE_releasable
AMSC D-VAR® Model for PSSE_releasableAMSC D-VAR® Model for PSSE_releasable
AMSC D-VAR® Model for PSSE_releasable
johnwrightsmith
 
Kollmorgen pma with_pc800_ specsheet
Kollmorgen  pma with_pc800_ specsheetKollmorgen  pma with_pc800_ specsheet
Kollmorgen pma with_pc800_ specsheet
Electromate
 
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
Prashanta Sarkar
 
A04310104
A04310104A04310104
A04310104
theijes
 

Ähnlich wie Methods for Achieving RTL to Gate Power Consistency (20)

Next Generation Researchers in Power Systems_Tao Yang_UCD EI
Next Generation Researchers in Power Systems_Tao Yang_UCD EINext Generation Researchers in Power Systems_Tao Yang_UCD EI
Next Generation Researchers in Power Systems_Tao Yang_UCD EI
 
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
 
AMSC D-VAR® Model for PSSE_releasable
AMSC D-VAR® Model for PSSE_releasableAMSC D-VAR® Model for PSSE_releasable
AMSC D-VAR® Model for PSSE_releasable
 
Asiasim2004 final
Asiasim2004 finalAsiasim2004 final
Asiasim2004 final
 
Power Transformers regulations - MEPS
Power Transformers regulations - MEPSPower Transformers regulations - MEPS
Power Transformers regulations - MEPS
 
Kollmorgen servo systems_specsheet
Kollmorgen servo systems_specsheetKollmorgen servo systems_specsheet
Kollmorgen servo systems_specsheet
 
Kollmorgen pma with_pc800_ specsheet
Kollmorgen  pma with_pc800_ specsheetKollmorgen  pma with_pc800_ specsheet
Kollmorgen pma with_pc800_ specsheet
 
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab ModelIRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
 
ADB_False_River_Project_RevB.pdf
ADB_False_River_Project_RevB.pdfADB_False_River_Project_RevB.pdf
ADB_False_River_Project_RevB.pdf
 
Smart Grid in Hawaii
Smart Grid in HawaiiSmart Grid in Hawaii
Smart Grid in Hawaii
 
03 broderick qsts_sand2016-4697 c
03 broderick qsts_sand2016-4697 c03 broderick qsts_sand2016-4697 c
03 broderick qsts_sand2016-4697 c
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
 
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
 
04 key ieee p1547 update
04 key ieee p1547 update04 key ieee p1547 update
04 key ieee p1547 update
 
OPAL-RT ePHASORsim Webinar
OPAL-RT ePHASORsim WebinarOPAL-RT ePHASORsim Webinar
OPAL-RT ePHASORsim Webinar
 
Presentation SIW7 amjad anvari-moghaddam
Presentation SIW7 amjad anvari-moghaddamPresentation SIW7 amjad anvari-moghaddam
Presentation SIW7 amjad anvari-moghaddam
 
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
 
A04310104
A04310104A04310104
A04310104
 
Advancements in the Real-Time Simulation of Large Active Distribution Systems...
Advancements in the Real-Time Simulation of Large Active Distribution Systems...Advancements in the Real-Time Simulation of Large Active Distribution Systems...
Advancements in the Real-Time Simulation of Large Active Distribution Systems...
 

Mehr von Ansys

Mehr von Ansys (18)

Reliability Engineering Services Overview
Reliability Engineering Services OverviewReliability Engineering Services Overview
Reliability Engineering Services Overview
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
Mechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsMechanical Simulations for Electronic Products
Mechanical Simulations for Electronic Products
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
6 Myths of High-Performance Computing
6 Myths of High-Performance Computing6 Myths of High-Performance Computing
6 Myths of High-Performance Computing
 
Thermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsThermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based Designs
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
 
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package ConvergenceANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
 
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsModeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
 
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectSolving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
 
ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3
 
Advances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAdvances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD Simulation
 
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
 
Automotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAutomotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case Study
 
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareHow to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
 
Buckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic ProtectionBuckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic Protection
 
Erosion Analysis of Subsea Equipment: A Case Study with High Solid Loading
Erosion Analysis of Subsea Equipment: A Case Study with High Solid LoadingErosion Analysis of Subsea Equipment: A Case Study with High Solid Loading
Erosion Analysis of Subsea Equipment: A Case Study with High Solid Loading
 
HPC Parallel Computing for CFD - Customer Examples (2 of 4)
HPC Parallel Computing for CFD - Customer Examples (2 of 4)HPC Parallel Computing for CFD - Customer Examples (2 of 4)
HPC Parallel Computing for CFD - Customer Examples (2 of 4)
 

Kürzlich hochgeladen

Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
ssuser89054b
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 

Kürzlich hochgeladen (20)

Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank  Design by Working Stress - IS Method.pdfIntze Overhead Water Tank  Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELLPVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Double rodded leveling 1 pdf activity 01
Double rodded leveling 1 pdf activity 01Double rodded leveling 1 pdf activity 01
Double rodded leveling 1 pdf activity 01
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdf
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 

Methods for Achieving RTL to Gate Power Consistency

  • 1. 6/23/2014 © 2014 ANSYS, Inc. 1 Methods for Achieving RTL to Gate Power Consistency Design Automation Conference 2014
  • 2. 6/23/2014 © 2014 ANSYS, Inc. 2 PowerArtist™: RTL Design-for-Power Platform Power Analysis and Debug Original RTL Low-Power RTL Automated Power Reduction Links with Physical Physical Power RTL Power PACE RPM
  • 3. 6/23/2014 © 2014 ANSYS, Inc. 3 Objectives of RTL Power Analysis • Power trade-off analysis using relative accuracy • Sign off power with absolute accuracy • Analysis driven power reduction 0.00 0.10 0.20 0.30 0.40 0.50 0.60 0.70 0.80 0.90 1.00 0.00 0.10 0.20 0.30 0.40 0.50 0.60 0.70 0.80 0.90 1.00 1 11 21 31 41 51 61 71 81 91 101 111 121 131 141 151 161 171 181 191 201 211 221 231 241 251 261 271 281 291 Cumulative Area Overhead (normalized) Total Power Savings Available (normalized) # RTL Changes (Design Effort) Maximum acceptable area impact Maximum possible power savings Only 5 changes gave 50% saving
  • 4. 6/23/2014 © 2014 ANSYS, Inc. 5 RTL Power: Inputs for PowerArtist Vdd 1 Power domains (UPF / CPF) Vdd 2 module PA ( ... always @ (posedge clk) begin dout <= din1; end assign out = sel ? dout : din2; ... endmodule RTL (VHDL, Verilog, System Verilog) RTL Power Analysis Capacitance model (WLM / PACE) mux and register register Activity (FSDB / VCD / SAIF) Clock tree, gating (SDC, PACE, user input) clk Power models (Liberty .lib)
  • 5. 6/23/2014 © 2014 ANSYS, Inc. 6 Factors Affecting RTL Power Accuracy Synthesis Modeling Inferencing Multi-VT Cell Selection Micro-architecture Algorithmic RTL Models Activity Propagation Timing Power Computation Physical Models Clock Tree Wire Cap Transition Time Low Power Structures Voltage / Power Domains CPF / UPF NOTE: Algorithmic and Low Power structures are not configured for accuracy
  • 6. 6/23/2014 © 2014 ANSYS, Inc. 7 Synthesis Modeling Aspects for RTL Power • Optimization settings to be consistent as synthesis • Enable DesignWare flow (if DW components are present) Inferencing Multi-VT • Apply consistent multi-VT settings from synthesis • Fine-tune cell selection based on synthesis netlist • Apply boundary conditions based on load/ frequency Cell Selection • Apply microarchitectures for macros (e.g. adders, multipliers) Microarchitecture
  • 7. 6/23/2014 © 2014 ANSYS, Inc. 8 Synthesis Modeling Aspects in PowerArtist b = 8’b11000100; assign z = a * b; CSA Constant Multipliers assign z = a + b + c + d ; a b c CSA d CSA + a b + c + d + Chains of Adders Look-Up Table Optimization OR plane address data case (address) 8'd0 : data = {32'd0}; 8'd1 : data = {32'd12}; … endcase address Optimized and-or plane by sharing common logic data Cell mapping to basic 2-input cells Modeled using AOIs Un-encoded mux
  • 8. 6/23/2014 © 2014 ANSYS, Inc. 9 RTL Power Accuracy Using Wire Load Models – Large difference seen with simple wire load models – Clock and Combo power show the largest difference – Total power shows 40% difference wrt gate level Mobile SoC Case Study ** Note: GATE considered to be most accurate 28.8% 11.0% -9.2% 69.2% 41.2% 32.3% 40.2% -100% -80% -60% -40% -20% 0% 20% 40% 60% 80% 100% 0.000 0.020 0.040 0.060 0.080 0.100 0.120 % Difference Power (Watts) RTL Wire Load Models vs. Gate Level (Different Power Categories) RTL WLM GATE %diff
  • 9. 6/23/2014 © 2014 ANSYS, Inc. 10 Physical Aspects Modeling for Power • Modeling clock tree • Balanced and Clock Mesh topology Clock Tree • Accurately model post-layout wire capacitance • Model capacitance profile for different types of nets Wire Cap • Accurately model slew for realistic power • Both clock and logic nets Transition Time
  • 10. 6/23/2014 © 2014 ANSYS, Inc. 11 Physical Modeling: Clock Tree • RTL clock power accuracy requirements – Understand clock gating methodology – Understand clock tree topology and buffering • Difficult for RTL designers to get data from backend team Balanced Clock Tree Clock Mesh Topology
  • 11. 6/23/2014 © 2014 ANSYS, Inc. 12 Physical Modeling: Wire Cap 40nm, 45k nets with fanout 1 Traditional Wire Load Models • Not available in some vendor libraries; often not calibrated • Custom WLMs not portable across blocks and designs • Simplistic modeling results in poor accuracy WLM assigns 1fF for all nets vs. SPEF that varies 0.2fF to >129fF
  • 12. 6/23/2014 © 2014 ANSYS, Inc. 13 PACE™ for RTL Power Accuracy PACE applies from RTL to Pre-layout Power • Clock tree models – Determine buffer and CG cells per inferred clock tree – Supports both balanced clock tree as well as clock mesh • Wire capacitance models – Granular, power-oriented vs. traditional WLMs module PA ( ... always @ (posedge clk) begin dout <= din1; end assign out = sel ? dout : din2; ... endmodule Clock distribution Parasitics Multiple Vt Low-power structures RTL Power Bridge the RTL ↔ Implementation Gap Statistical Models: Wire Cap and Clock Representative Layout PowerArtist Calibration (PACE) Post-Layout Power
  • 13. 6/23/2014 © 2014 ANSYS, Inc. 14 -13.4% 5.1% -9.2% 22.8% 8.1% -37.4% 3.0% -100% -80% -60% -40% -20% 0% 20% 40% 60% 80% 100% 0.000 0.020 0.040 0.060 0.080 0.100 0.120 % Difference Power (Watts) PACE Cap Models vs. WLM & Gate Level (Different Power Categories) RTL WLM RTL w PACE Cap GATE %diff RTL Power Accuracy Using PACE Cap Models – Tighter correlation seen with PACE Cap models – Register and Combo power are within +/-20% – Total power shows <5% difference wrt gate level Mobile SoC Case Study ** Note: GATE considered to be most accurate
  • 14. 6/23/2014 © 2014 ANSYS, Inc. 15 RTL Power Accuracy Using PACE Cap + Clock Models – Best correlation seen with PACE Cap + Clock models – Overall correlation is within +/-15% Mobile SoC Case Study ** Note: GATE considered to be most accurate -13.4% 9.9% -9.2% -12.8% -9.0% -13.6% -9.4% -100.0% -80.0% -60.0% -40.0% -20.0% 0.0% 20.0% 40.0% 60.0% 80.0% 100.0% 0.000 0.020 0.040 0.060 0.080 0.100 0.120 % Difference Power (Watts) PACE Cap+Clk Models vs. WLM & Gate Level (Different Power Categories) RTL WLM RTL w PACE Cap+Clock GATE %diff w/ PACE %diff w/ WLM
  • 15. 6/23/2014 © 2014 ANSYS, Inc. 16 0.000 0.020 0.040 0.060 0.080 0.100 0.120 Design 1 Design 2 Design 3 Power (Watts) Total Power Comparison RTL WLM RTL PACE GATE RTL Power Accuracy Using PACE Cap + Clock Models – Total power with WLM is greater than +/-30% – With PACE models within +/-20% Mobile SoC Blocks Case Study ** Note: GATE considered to be most accurate
  • 16. 6/23/2014 © 2014 ANSYS, Inc. 17 RTL Power Accuracy Using PACE Cap + Clock Models – Total power with WLM is greater than +/-30% – With PACE models within +/-20% Mobile SoC Blocks Case Study ** Note: GATE considered to be most accurate – Clock power with PACE is within +/-20% as well 15.5% 19.0% 20.7% 0.0% 5.0% 10.0% 15.0% 20.0% 25.0% 0.00E+00 1.00E-02 2.00E-02 3.00E-02 4.00E-02 5.00E-02 6.00E-02 7.00E-02 8.00E-02 Design 1 Design 2 Design 3 % diff Power (Watts) Clock Power wrt RTL PACE vs. GATE GATE RTL PACE %diff
  • 17. 6/23/2014 © 2014 ANSYS, Inc. 18 Nvidia Case Study: RTL Power Accuracy DESIGN Number of instances Black-boxed DW instances Avg Dynamic Power (mW) Avg Leakage Power (mW) Avg Total Power (mW) Avg Dynamic Power (mW) Avg Leakage Power (mW) Avg Total Power (mW) % Dynamic Power % Leakage Power % Total Power PR 580320 0 82.524 114.210 196.735 92.900 111.734 204.635 12.57% -2.17% 4.02% TD 268993 0 89.209 38.713 127.923 101.755 35.089 136.844 14.06% -9.36% 6.97% TTM 158407 14 64.828 21.353 86.181 63.583 20.212 83.795 -1.92% -5.34% -2.77% TTF 134152 64 47.850 14.874 62.724 32.563 13.431 45.995 -31.95% -9.70% -26.67% SMI 1137155 101 145.497 201.661 347.158 125.133 135.635 260.768 -14.00% -32.74% -24.88% SRF 509095 24 263.894 75.515 339.409 258.332 73.897 332.229 -2.11% -2.14% -2.12% 115.634 77.721 193.355 112.378 65.000 177.378 -2.82% -16.37% -8.26% 125.114 62.448 187.562 129.143 60.233 189.376 3.22% -3.55% 0.97% 85.867 76.462 162.329 97.328 73.412 170.739 13.35% -3.99% 5.18% Average Power excluding SMI/TTF Average Power PR/TD only Post-synthesis PT-PX RTL Power Artist RTL Power Artist vs Post-synthesis PT-PX Average Power overall designs • Power correlation performed for 6 designs 130K - 1.13M instances • In general, very good average power correlation observed (SMI and TTF having DWs) • 8-16 tests being run across the blocks ** Source : Nvidia-Apache Webinar, July 2013 (Miki)
  • 18. 6/23/2014 © 2014 ANSYS, Inc. 19 Summary • RTL power enables early design trade offs for high power impact • PowerArtist provides predictable RTL power accuracy wrt GATE • PowerArtist has advanced synthesis and physical modeling techniques • PowerArtist PACE modeling is proven across designs • Use PowerArtist for RTL power sign-off with absolute accuracy