SlideShare ist ein Scribd-Unternehmen logo
1 von 8
Downloaden Sie, um offline zu lesen
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3197
Design of Low Power 32- Bit RISC Processor using Verilog HDL
Surya A
Assistant Professor, Department of Electronics and communication Engineering
Anjalai Ammal Mahalingam Engineering College, Thiruvarur
---------------------------------------------------------------------***----------------------------------------------------------------------
Abstract - The RISC or Reduced Instruction Set Computer is a design philosophy thathasbecomeamainstreaminScientificand
engineering applications. This paper concerned with the design and implementation of a 32bitReduced InstructionSet Computer
(RISC) processor on a Field Programmable Gate Arrays (FPGAs). The idea is to createaRISCprocessorasabuildingblockinVHDL
than later easily can be included in a larger design. It will be useful in systems where aproblem iseasytosolveinsoftwarebuthard
to solve with control logic. The processor has been designed with Verilog HDL, synthesized using Xilinx ISE 10.1i Webpack,
simulated using MODELSIM 6.3f simulator, and then implemented on Xilinx Spartan 3E FPGA. The test bench waveforms for the
different parts of the processor are presented and the system architecture is demonstrated. The development approach of the
overall system design depends on the design specification, analysis and simulation. The RISC Processor core is high performance
32- bit microprocessor. This processor make it especially suited to embedded control applications.
KeyWords: fetch, read.write, power, verilog HDL, xilinx, Modelsim
1. INTRODUCTION
RISC processor [Reduced Instruction Set Computer], computer arithmetic-logic unit that uses a minimal instruction set,
emphasizing the instructions used most often and optimizing them for the fastest possible execution. Software for RISC
processors must handle more operations than traditional CISC [Complex Instruction Set Computer] processors, but RISC
processors have advantages in applications that benefit from faster instruction execution, such as engineering and graphics
workstations(1) and parallel-processing systems. They are also less costly to design, test, and manufacture. In the mid-1990s
RISC processors began to be used in personal computers instead of the CISC processors that had been used since the
introduction of the microprocessor.
Reduced instruction set computer is a CPU design strategybasedontheinsightthatsimplifiedinstructionscanprovidehigher
performance if this simplicity enables much faster execution of each instruction. There are many proposals for a precise
definition but the term is slowly being replaced by the more descriptive load-store architecture.
1.1 KEY FEATURES:
Uniform instruction format, using a single word with the opcode in the same bit positions in every instruction,demandingless
decoding
Identical general purpose registers, allowing any register to be used in any context, simplifying compiler design
Simple addressing modes. Complex addressing performed via sequences of arithmetic and/or load-store operations.
Few data types in hardware, some CISCs have byte string instructions, or support complexnumbers;thisissofarunlikelytobe
found on a RISC.
Fewer Instructions
Fixed instruction length
Fixed execution time
Lower Cost
2. SYSTEM ARCHITECTURE
The RISC processor (2)presented in this paper consists of three components as shown in Figure .1, these components
are, the Control Unit (CU), the DataPath, and the ROM. The Central Processing Unit (CPU) has 17 instructions. In the
following sections we will describe the design of the three main components of the processor.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3198
Control unit
Datapath unit
Memory unit
Figure 1. System Architecture
2.1 CONTOL UNIT:
The control unit designis based on using FSM(FiniteStateMachine)anddesigneditinwaythatallowseachstatetorun
at one clock cycle ,the first state is the reset which is initializes the CPU internal registers and variables. The machine
goes to reset state by enabling the reset signal for a certain number of clocks. Following the reset state would be the
instruction fetching and decoding states which will enabletheappropriatesignalsforreadinginstructiondatafromtheROM the
decoding the part of the instruction. The decoding state will also select the next state depending on the instruction, every
instruction has its own state .Based on the instruction ,the control unit select the appropriatestate. After all operationfor given
instruction finished, the last state return to fetch state which will allow us to process the next instruction in the program.
2.2 DATAPATH UNIT
The datapath consist of subunits that are performing all ofarithmeticandlogicoperations.Itconsistoftheunitsnecessary
to perform all the operations on the data selected by the control unit. It consist of register file, ALU, memory interface and
branching unit. A datapath is a hardware that performs data processing operations, the control lines coming from the control
unit operate all the units in the datapath .The path starts from the register filethathastwooutputportswhichareconnectedto
all other units, after that the processing is done by one of the other units thenfinallyreturnedback totheregisterfile inputport
using the multiplexer. The signals used in the datapath are forwarded from the control unit to each subcomponents needed.
2.3 MEMORY UNIT
The CPU has a built in ROM which enable us to program simple code and execute it. It is a basic 16*32 ROM and itis32bit
aligned. The list of signals in the ROMare
Address -address sent by the control unity.
Data_out - data that is contained the given address.
Read - signal to enable reading from the ROM.
Ready - signal to indicate when the ROM is ready for reading.
Clock -main clock signal.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3199
Reset - intial reset signal.
3. SYSTEM DESIGN
The processor is based on the Harvard architecture that any instruction occupies separatedpositionsofprogrammemoryand
data memory(6)(7). Thus obtaining greater speed and a minor program length, also, the access time to the instructions canbe
superposed with one of the data, obtaining a greater speed in each operation(8). The processor includes a RISCinstructionset
and uses a Single Instruction – Single Data (SISD) execution order.
Figure 2. System Design
4. STAGES OF OPERATION
The overall diagram of the processor architecture s shown in figure3. Asseenfromthe diagram,thearchitecture(3) consistsof
a five stage of operations.
i. Instruction Fetch
ii. Instruction Decode
iii. Execute
iv. Memory
v. Write Back
Figure 3. Data Flow Diagram
4.1 INSTRUCTION FETCH
In this fetch cycle, the instructions are stored in the instruction memory, that instruction is to be fetched from the instruction
memory. IR <= MEM[pc]
NPC <= pc+4
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3200
This stage consists of three units.Program Counter, Instruction Memory and Branch Decide Unit.
Figure 4. Block Diagram of Fetch Unit
4.2 INSTRUCTION DECODE
In this decode stage, instructiondecodeintooperandcodes,operandaddressinformation,control andthedestination
operand signal. This stage consists of four units Control Unit, Register Foley-Register and Sign Extend Unit.
Figure 5. Block Diagram Of Decode Unit
4.2.1 Control Unit:
The control unit generates(4) all the control signals needed to control the coordination among the entire component of the
processor. The input to this unit is the 6-bit opcode field of the instruction word. This unitgeneratessignalsthatcontrol all the
read and write operations of the Register File, Y-Register, and the Data Memory. It is also responsible for generating signals
that decide when to use the multiplier and when to use the ALU, and it also generates appropriatebranchflagsthatareused by
the Branch Decide unit. In addition, this unit provides clock gating signals for the ALU Control and the Branch Adder module.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3201
Figure 6. Block Diagram of Control Unit
4.3 EXECUTE
In this execution stage(5), the data from decode stage is tobeallowedfordata processing operations.This stageconsistsoffour
modules: Branch Adder, Multiplier, Arithmetic Logic Unit and ALU Control Unit.
Figure 7. Block Diagram Of Execute Unit
5. Instruction Set Architecture:
There are three basic types of instructions supported by this processor. Register Type, Branch Type and
Immediate Type.
The specification for each type of instructions is given below.
TABLE 1. Instruction Formats
The setting of control lines that are determined by opcode for three instruction formats
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3202
6. Simulation Results
Fetch Output
FetchBranch output
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3203
CONTROL UNIT OUTPUT
INTEGRATION OUTPUT:
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3204
7. CONCLUSION:
The design of 32-bit RISC processor has beenpresented..Herewehaveused nearlytwentyinstructions.Inthatwe
have arithmetic operations, logical operations, shift operations and load & store operations. Inour project, weimplementonly
8-bit Wallace tree multiplier and got output successfully. In future, it will enhance into 32-bit. By using MODELSIM 6.3f, the
simulation results have been taken for all individual units successfully Most of the goals were achieved and simulationshows
that the processor is working perfectly, but the Spartan 2E FPGA was not sufficient for implementing the whole design into a
real hardware, since the total available logic gate in Spartan 3 Logic Gate, which was not3.
REFERENCES
[1] W. Stallings, “organization and architecture of computer,” 7ªEditión, Pearson, 2006.
[2] M. Mano, “Architecture of computers,” 3ª Editión, Pearson, 1994.
[3] A.K.Ray, “Advanced Microprocessor And Peripherals”, 2nd Edition, Tata McGraw- Hill,2008
[4] D.V.Hall,“Microprocessor And Interfacing”, 2nd Edition, Tata McGraw-Hill,2006
[5] D. Mandalidis, P. Kenterlis, J. Ellinas, “A computer architecture educational system based on a 32-bit RISC processor,”
International Review on computers and Software, pp. 114-119, 2008.
[6] Tocci, Widmer, Moss, “Sistemas Digitales, Principios y aplicaciones,” 10ª Editión, Pearson, 2007. Antonio H. Zavala, Jorge
Avante R.,”RISC- Based Architecture for computer Hardware Introduction”.
[7] R. Uma, “Design and Performance Analysis of 8 – bit RISC Processorusing XilinxTool”, International Journal ofEngineering
Research and Applications, Volume 2, Issue 2, March – April 2012, pp. 053 – 058, ISSN: 2248 – 9622.
[8] N. M. Dyamannavra, N. G. kurahattib,A. Christinaa ,”Design and Implementation of Field Programmable Gate Array Based
Baseband Processor for Passive Radio Frequency Identification Tag”, IJE TRANSACTIONS A:BasicsVol.30,No.1,(January
2017) 127-133.

Weitere ähnliche Inhalte

Was ist angesagt?

Introduction to arm architecture
Introduction to arm architectureIntroduction to arm architecture
Introduction to arm architectureZakaria Gomaa
 
I3,i5,i7 ppt
I3,i5,i7 pptI3,i5,i7 ppt
I3,i5,i7 pptamit parcha
 
Datapath Design of Computer Architecture
Datapath Design of Computer ArchitectureDatapath Design of Computer Architecture
Datapath Design of Computer ArchitectureAbu Zaman
 
Pass 1 flowchart
Pass 1 flowchartPass 1 flowchart
Pass 1 flowchartNamisha Sharma
 
1 Computer Architecture
1 Computer Architecture1 Computer Architecture
1 Computer Architecturefika sweety
 
COCOMO model
COCOMO modelCOCOMO model
COCOMO modelhajra azam
 
MICROPROCESSOR 8085 WITH PROGRAMS
MICROPROCESSOR 8085 WITH PROGRAMSMICROPROCESSOR 8085 WITH PROGRAMS
MICROPROCESSOR 8085 WITH PROGRAMSSabin Gautam
 
hierarchical bus system
 hierarchical bus system hierarchical bus system
hierarchical bus systemElvis Jonyo
 
Register transfer language & its micro operations
Register transfer language & its micro operationsRegister transfer language & its micro operations
Register transfer language & its micro operationsLakshya Sharma
 
Arm architecture chapter2_steve_furber
Arm architecture chapter2_steve_furberArm architecture chapter2_steve_furber
Arm architecture chapter2_steve_furberasodariyabhavesh
 
Floating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAFloating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAAzhar Syed
 
Unit iii
Unit iiiUnit iii
Unit iiiJanani S
 
Character generation techniques
Character generation techniquesCharacter generation techniques
Character generation techniquesMani Kanth
 
Parallel computing in india
Parallel computing in indiaParallel computing in india
Parallel computing in indiaPreeti Chauhan
 

Was ist angesagt? (20)

Introduction to arm architecture
Introduction to arm architectureIntroduction to arm architecture
Introduction to arm architecture
 
I3,i5,i7 ppt
I3,i5,i7 pptI3,i5,i7 ppt
I3,i5,i7 ppt
 
Datapath Design of Computer Architecture
Datapath Design of Computer ArchitectureDatapath Design of Computer Architecture
Datapath Design of Computer Architecture
 
2 bit alu
2 bit alu2 bit alu
2 bit alu
 
Pipelining & All Hazards Solution
Pipelining  & All Hazards SolutionPipelining  & All Hazards Solution
Pipelining & All Hazards Solution
 
Cpu organisation
Cpu organisationCpu organisation
Cpu organisation
 
Pass 1 flowchart
Pass 1 flowchartPass 1 flowchart
Pass 1 flowchart
 
1 Computer Architecture
1 Computer Architecture1 Computer Architecture
1 Computer Architecture
 
Linux Internals - Part III
Linux Internals - Part IIILinux Internals - Part III
Linux Internals - Part III
 
COCOMO model
COCOMO modelCOCOMO model
COCOMO model
 
MICROPROCESSOR 8085 WITH PROGRAMS
MICROPROCESSOR 8085 WITH PROGRAMSMICROPROCESSOR 8085 WITH PROGRAMS
MICROPROCESSOR 8085 WITH PROGRAMS
 
hierarchical bus system
 hierarchical bus system hierarchical bus system
hierarchical bus system
 
Arm instruction set
Arm instruction setArm instruction set
Arm instruction set
 
Register transfer language & its micro operations
Register transfer language & its micro operationsRegister transfer language & its micro operations
Register transfer language & its micro operations
 
Microprocessor - Intel Pentium Series
Microprocessor - Intel Pentium SeriesMicroprocessor - Intel Pentium Series
Microprocessor - Intel Pentium Series
 
Arm architecture chapter2_steve_furber
Arm architecture chapter2_steve_furberArm architecture chapter2_steve_furber
Arm architecture chapter2_steve_furber
 
Floating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAFloating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGA
 
Unit iii
Unit iiiUnit iii
Unit iii
 
Character generation techniques
Character generation techniquesCharacter generation techniques
Character generation techniques
 
Parallel computing in india
Parallel computing in indiaParallel computing in india
Parallel computing in india
 

Ă„hnlich wie IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL

A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSIRJET Journal
 
Design and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSDesign and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSIRJET Journal
 
Simulation and Modelling of 3-Floor Elevator System using PLC
Simulation and Modelling of 3-Floor Elevator System using PLCSimulation and Modelling of 3-Floor Elevator System using PLC
Simulation and Modelling of 3-Floor Elevator System using PLCIRJET Journal
 
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...IRJET Journal
 
Realization of high performance run time loadable mips soft-core processor
Realization of high performance run time loadable mips soft-core processorRealization of high performance run time loadable mips soft-core processor
Realization of high performance run time loadable mips soft-core processoreSAT Publishing House
 
Design of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsDesign of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsROHIT89352
 
Fpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollerFpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollereSAT Publishing House
 
16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)Susam Pal
 
IJCRT2006062.pdf
IJCRT2006062.pdfIJCRT2006062.pdf
IJCRT2006062.pdfssuser1e1bab
 
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfDesign_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfssuser1e1bab
 
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIMAn Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIMjournalBEEI
 
Hg3612911294
Hg3612911294Hg3612911294
Hg3612911294IJERA Editor
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...IRJET Journal
 
3 Axis Drawing Machine
3 Axis Drawing Machine3 Axis Drawing Machine
3 Axis Drawing MachineIRJET Journal
 
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...IJERA Editor
 
Development of Software for Estimation of Structural Dynamic Characteristics ...
Development of Software for Estimation of Structural Dynamic Characteristics ...Development of Software for Estimation of Structural Dynamic Characteristics ...
Development of Software for Estimation of Structural Dynamic Characteristics ...IRJET Journal
 

Ă„hnlich wie IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL (20)

A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
 
20120140502007 2-3
20120140502007 2-320120140502007 2-3
20120140502007 2-3
 
Design and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSDesign and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPS
 
Simulation and Modelling of 3-Floor Elevator System using PLC
Simulation and Modelling of 3-Floor Elevator System using PLCSimulation and Modelling of 3-Floor Elevator System using PLC
Simulation and Modelling of 3-Floor Elevator System using PLC
 
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
 
A044050107
A044050107A044050107
A044050107
 
Mod3
Mod3Mod3
Mod3
 
Realization of high performance run time loadable mips soft-core processor
Realization of high performance run time loadable mips soft-core processorRealization of high performance run time loadable mips soft-core processor
Realization of high performance run time loadable mips soft-core processor
 
Design of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsDesign of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applications
 
Fpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollerFpga implementation of a functional microcontroller
Fpga implementation of a functional microcontroller
 
16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)
 
IJCRT2006062.pdf
IJCRT2006062.pdfIJCRT2006062.pdf
IJCRT2006062.pdf
 
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfDesign_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
 
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIMAn Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
 
Hg3612911294
Hg3612911294Hg3612911294
Hg3612911294
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
 
3 Axis Drawing Machine
3 Axis Drawing Machine3 Axis Drawing Machine
3 Axis Drawing Machine
 
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
 
Development of Software for Estimation of Structural Dynamic Characteristics ...
Development of Software for Estimation of Structural Dynamic Characteristics ...Development of Software for Estimation of Structural Dynamic Characteristics ...
Development of Software for Estimation of Structural Dynamic Characteristics ...
 

Mehr von IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

Mehr von IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

KĂĽrzlich hochgeladen

An introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxAn introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxPurva Nikam
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfROCENODodongVILLACER
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Correctly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleCorrectly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleAlluxio, Inc.
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...121011101441
 
Comparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization TechniquesComparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization Techniquesugginaramesh
 

KĂĽrzlich hochgeladen (20)

An introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxAn introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptx
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdf
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Correctly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleCorrectly Loading Incremental Data at Scale
Correctly Loading Incremental Data at Scale
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...
 
Comparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization TechniquesComparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization Techniques
 

IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3197 Design of Low Power 32- Bit RISC Processor using Verilog HDL Surya A Assistant Professor, Department of Electronics and communication Engineering Anjalai Ammal Mahalingam Engineering College, Thiruvarur ---------------------------------------------------------------------***---------------------------------------------------------------------- Abstract - The RISC or Reduced Instruction Set Computer is a design philosophy thathasbecomeamainstreaminScientificand engineering applications. This paper concerned with the design and implementation of a 32bitReduced InstructionSet Computer (RISC) processor on a Field Programmable Gate Arrays (FPGAs). The idea is to createaRISCprocessorasabuildingblockinVHDL than later easily can be included in a larger design. It will be useful in systems where aproblem iseasytosolveinsoftwarebuthard to solve with control logic. The processor has been designed with Verilog HDL, synthesized using Xilinx ISE 10.1i Webpack, simulated using MODELSIM 6.3f simulator, and then implemented on Xilinx Spartan 3E FPGA. The test bench waveforms for the different parts of the processor are presented and the system architecture is demonstrated. The development approach of the overall system design depends on the design specification, analysis and simulation. The RISC Processor core is high performance 32- bit microprocessor. This processor make it especially suited to embedded control applications. KeyWords: fetch, read.write, power, verilog HDL, xilinx, Modelsim 1. INTRODUCTION RISC processor [Reduced Instruction Set Computer], computer arithmetic-logic unit that uses a minimal instruction set, emphasizing the instructions used most often and optimizing them for the fastest possible execution. Software for RISC processors must handle more operations than traditional CISC [Complex Instruction Set Computer] processors, but RISC processors have advantages in applications that benefit from faster instruction execution, such as engineering and graphics workstations(1) and parallel-processing systems. They are also less costly to design, test, and manufacture. In the mid-1990s RISC processors began to be used in personal computers instead of the CISC processors that had been used since the introduction of the microprocessor. Reduced instruction set computer is a CPU design strategybasedontheinsightthatsimplifiedinstructionscanprovidehigher performance if this simplicity enables much faster execution of each instruction. There are many proposals for a precise definition but the term is slowly being replaced by the more descriptive load-store architecture. 1.1 KEY FEATURES: Uniform instruction format, using a single word with the opcode in the same bit positions in every instruction,demandingless decoding Identical general purpose registers, allowing any register to be used in any context, simplifying compiler design Simple addressing modes. Complex addressing performed via sequences of arithmetic and/or load-store operations. Few data types in hardware, some CISCs have byte string instructions, or support complexnumbers;thisissofarunlikelytobe found on a RISC. Fewer Instructions Fixed instruction length Fixed execution time Lower Cost 2. SYSTEM ARCHITECTURE The RISC processor (2)presented in this paper consists of three components as shown in Figure .1, these components are, the Control Unit (CU), the DataPath, and the ROM. The Central Processing Unit (CPU) has 17 instructions. In the following sections we will describe the design of the three main components of the processor.
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3198 Control unit Datapath unit Memory unit Figure 1. System Architecture 2.1 CONTOL UNIT: The control unit designis based on using FSM(FiniteStateMachine)anddesigneditinwaythatallowseachstatetorun at one clock cycle ,the first state is the reset which is initializes the CPU internal registers and variables. The machine goes to reset state by enabling the reset signal for a certain number of clocks. Following the reset state would be the instruction fetching and decoding states which will enabletheappropriatesignalsforreadinginstructiondatafromtheROM the decoding the part of the instruction. The decoding state will also select the next state depending on the instruction, every instruction has its own state .Based on the instruction ,the control unit select the appropriatestate. After all operationfor given instruction finished, the last state return to fetch state which will allow us to process the next instruction in the program. 2.2 DATAPATH UNIT The datapath consist of subunits that are performing all ofarithmeticandlogicoperations.Itconsistoftheunitsnecessary to perform all the operations on the data selected by the control unit. It consist of register file, ALU, memory interface and branching unit. A datapath is a hardware that performs data processing operations, the control lines coming from the control unit operate all the units in the datapath .The path starts from the register filethathastwooutputportswhichareconnectedto all other units, after that the processing is done by one of the other units thenfinallyreturnedback totheregisterfile inputport using the multiplexer. The signals used in the datapath are forwarded from the control unit to each subcomponents needed. 2.3 MEMORY UNIT The CPU has a built in ROM which enable us to program simple code and execute it. It is a basic 16*32 ROM and itis32bit aligned. The list of signals in the ROMare Address -address sent by the control unity. Data_out - data that is contained the given address. Read - signal to enable reading from the ROM. Ready - signal to indicate when the ROM is ready for reading. Clock -main clock signal.
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3199 Reset - intial reset signal. 3. SYSTEM DESIGN The processor is based on the Harvard architecture that any instruction occupies separatedpositionsofprogrammemoryand data memory(6)(7). Thus obtaining greater speed and a minor program length, also, the access time to the instructions canbe superposed with one of the data, obtaining a greater speed in each operation(8). The processor includes a RISCinstructionset and uses a Single Instruction – Single Data (SISD) execution order. Figure 2. System Design 4. STAGES OF OPERATION The overall diagram of the processor architecture s shown in figure3. Asseenfromthe diagram,thearchitecture(3) consistsof a five stage of operations. i. Instruction Fetch ii. Instruction Decode iii. Execute iv. Memory v. Write Back Figure 3. Data Flow Diagram 4.1 INSTRUCTION FETCH In this fetch cycle, the instructions are stored in the instruction memory, that instruction is to be fetched from the instruction memory. IR <= MEM[pc] NPC <= pc+4
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3200 This stage consists of three units.Program Counter, Instruction Memory and Branch Decide Unit. Figure 4. Block Diagram of Fetch Unit 4.2 INSTRUCTION DECODE In this decode stage, instructiondecodeintooperandcodes,operandaddressinformation,control andthedestination operand signal. This stage consists of four units Control Unit, Register Foley-Register and Sign Extend Unit. Figure 5. Block Diagram Of Decode Unit 4.2.1 Control Unit: The control unit generates(4) all the control signals needed to control the coordination among the entire component of the processor. The input to this unit is the 6-bit opcode field of the instruction word. This unitgeneratessignalsthatcontrol all the read and write operations of the Register File, Y-Register, and the Data Memory. It is also responsible for generating signals that decide when to use the multiplier and when to use the ALU, and it also generates appropriatebranchflagsthatareused by the Branch Decide unit. In addition, this unit provides clock gating signals for the ALU Control and the Branch Adder module.
  • 5. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3201 Figure 6. Block Diagram of Control Unit 4.3 EXECUTE In this execution stage(5), the data from decode stage is tobeallowedfordata processing operations.This stageconsistsoffour modules: Branch Adder, Multiplier, Arithmetic Logic Unit and ALU Control Unit. Figure 7. Block Diagram Of Execute Unit 5. Instruction Set Architecture: There are three basic types of instructions supported by this processor. Register Type, Branch Type and Immediate Type. The specification for each type of instructions is given below. TABLE 1. Instruction Formats The setting of control lines that are determined by opcode for three instruction formats
  • 6. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3202 6. Simulation Results Fetch Output FetchBranch output
  • 7. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3203 CONTROL UNIT OUTPUT INTEGRATION OUTPUT:
  • 8. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 3204 7. CONCLUSION: The design of 32-bit RISC processor has beenpresented..Herewehaveused nearlytwentyinstructions.Inthatwe have arithmetic operations, logical operations, shift operations and load & store operations. Inour project, weimplementonly 8-bit Wallace tree multiplier and got output successfully. In future, it will enhance into 32-bit. By using MODELSIM 6.3f, the simulation results have been taken for all individual units successfully Most of the goals were achieved and simulationshows that the processor is working perfectly, but the Spartan 2E FPGA was not sufficient for implementing the whole design into a real hardware, since the total available logic gate in Spartan 3 Logic Gate, which was not3. REFERENCES [1] W. Stallings, “organization and architecture of computer,” 7ÂŞEditiĂłn, Pearson, 2006. [2] M. Mano, “Architecture of computers,” 3ÂŞ EditiĂłn, Pearson, 1994. [3] A.K.Ray, “Advanced Microprocessor And Peripherals”, 2nd Edition, Tata McGraw- Hill,2008 [4] D.V.Hall,“Microprocessor And Interfacing”, 2nd Edition, Tata McGraw-Hill,2006 [5] D. Mandalidis, P. Kenterlis, J. Ellinas, “A computer architecture educational system based on a 32-bit RISC processor,” International Review on computers and Software, pp. 114-119, 2008. [6] Tocci, Widmer, Moss, “Sistemas Digitales, Principios y aplicaciones,” 10ÂŞ EditiĂłn, Pearson, 2007. Antonio H. Zavala, Jorge Avante R.,”RISC- Based Architecture for computer Hardware Introduction”. [7] R. Uma, “Design and Performance Analysis of 8 – bit RISC Processorusing XilinxTool”, International Journal ofEngineering Research and Applications, Volume 2, Issue 2, March – April 2012, pp. 053 – 058, ISSN: 2248 – 9622. [8] N. M. Dyamannavra, N. G. kurahattib,A. Christinaa ,”Design and Implementation of Field Programmable Gate Array Based Baseband Processor for Passive Radio Frequency Identification Tag”, IJE TRANSACTIONS A:BasicsVol.30,No.1,(January 2017) 127-133.