SlideShare ist ein Scribd-Unternehmen logo
1 von 5
Downloaden Sie, um offline zu lesen
Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com 
ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 
www.ijera.com 39 | P a g e 
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19) ** (Department of Electrical Engineering, VJTI, Mumbai-19) ABSTRACT According to the Moore’s Law, the number of transistors in a unit chip area double every two years. But the existing technology of integrated circuit formation is posing limitations to this law. CMOS technology shows certain limitations as the device is reduced more and more in the nanometer regime out of which power dissipation is an important issue. FinFET is evolving to be a promising technology in this regard. This paper aims to analyze and compare the characteristics of CMOS and FinFET circuits at 45nm technology. Inverter circuit is implemented in order to study the basic characteristics such as voltage transfer characteristics, leakage current and power dissipation. Further the efficiency of FinFET to reduce power as compared to CMOS is proved using SRAM circuit. The results show that the average power is reduced by 92.93% in read operation and by 97.8% in write operation. 
Keywords – 6T SRAM cell, CMOS vs FinFET, FinFETs, Low power nanoscale design, Power dissipation 
I. Introduction 
The integrated circuit technology is progressing at a great pace since the invention of first MOS microprocessor in 1970. The major driving force behind this progress is the technique of scaling. The scaling of MOS transistor has resulted in high density, high performance chips. But this miniaturization is obstructed by many unwanted issues that arise in MOS device as the device size goes on shrinking [1]. Out of these issues, power dissipation is a major drawback. It has been listed as one of the challenges in International Technology Roadmap for Semiconductors (ITRS) 2012. Different low power design techniques using CMOS are implemented but they see certain limitations. Hence the need for new transistor technology arises. In this report, one such technology, FinFET technology is studied. From the perspective of circuit operation, a FinFET behave very much similar to MOSFET. However, two gates provide a greater control over the channel because of which many performance parameters can be altered. The second section describes in brief about the limitations of CMOS scaling along with how these limitations can be overcome using FinFETs. The third section describes the operation of conventional 6T SRAM cell. In the fourth section the modelling parameters of FinFET and CMOS at 45nm are discussed. The simulation results are presented in the fifth section along with their analysis. 
II. Issues in Scaling: CMOS vs FinFET 
Short channel effects (SCEs) and leakage current are a major source of power dissipation in MOSFETs 
at nanoscale. An effect called drain-induced barrier lowering (DIBL) takes place when a high-drain voltage is applied to a short-channel device and the source injects carriers into the channel surface independent of gate voltage. Gate oxide tunneling of electrons can result in leakage when there is a high electric field across a thin gate oxide layer. Hot- carrier injection occurs in short-channel transistors. Because of a strong electric field near the silicon/silicon oxide interface, electrons or holes can gain enough energy to cross the interface and enter the oxide layer. Punchthrough leakage occurs when there is decreased separation between depletion regions at the drain-substrate and the source-substrate junctions. The front and back gates are electrically coupled to better control Short Channel Effects by substantially lowering both Drain Induced Barrier Lowering and sub-threshold slope (S). Therefore, FinFET devices are most suitable for low-power designs as they enable significant reduction in standby power while simultaneously providing increased performance [2]. Joshi, Kim and Kanj have analyzed in [2] the DG-nFET device. They have proved that a DG-MOSFET shows far superior device characteristics to its bulk-Si counterparts with much lower sub-threshold slope S (65 mV/V vs. 90 mV/V) and much suppressed DIBL (35 mV/V vs. 105 mV/V), which offer over 10 times reduced off- current. 
In order to overcome the issues regarding power dissipation, certain low power design techniques using CMOS are implemented [3]. Reduction in power dissipation can be achieved by reducing the 
RESEARCH ARTICLE OPEN ACCESS
Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com 
ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 
www.ijera.com 40 | P a g e 
supply voltage but this has a disadvantage of 
increasing the delay. Reduction in threshold voltage 
increases leakage current. Lowering the capacitance 
have adverse effects on the system performance. 
The low power techniques employed for FinFET 
circuits effectively reduce the power of the circuit at 
the same time overcoming the above issues of CMOS 
techniques. The advantages of these techniques are 
summarized in [4]. Back-Gate biasing technique 
reduces VT variability, reduces leakage and thus 
power [1]. Dual-VT technique enables merging of 
transistors thus saving up on total power [5]. 
Asymmetric- ΦG produces low leakage current and 
high speed performance [6]. 
III. Operation of Conventional 6T SRAM 
Cell 
The conventional 6T SRAM cell using FinFETs 
is shown in Figure 1. This cell is made up six 
transistors. Two pairs of inverters are connected such 
that the output of one is fed to the input of the other 
and vice versa. This feedback connection stabilizes 
the storage nodes in the cell. Two other transistors 
are used as access transistors and are connected to the 
storage nodes (Q and QB) of the two inverters. The 
signals wordline (WL), bitline (BL) and bitlinebar 
(BLB) control the operation of the cell. When WL is 
high, the access transistors are turned on providing 
the access to the storage nodes. For write operation, 
BL and BLB are set according to the value to be 
written (complement of each other) and Q and QB 
are pulled to the required levels. For read operation, 
BL and BLB are precharged to high voltage. Either 
BL or BLB discharge depending upon the values of 
Q and QB, thus data is read. 
Fig. 1. 6T SRAM cell using FinFET 
IV. Modelling Parameters of FinFET at 45nm 
The key parameters of the FinFET model are its 
gate length (Lg), height of the fin (Hfin), thickness of 
gate oxide (tox), thickness of the fin (Tsi) and the 
channel width. These parameters define the 
performance of the circuit. They are important to 
minimize leakage current at the same time 
maintaining the on current. The model files used in 
here are PTM model files [7]. The values of the 
parameters used in this model of FinFET at 45nm are 
given in TABLE 1. 
Table 1. Modelling parameters of FinFET at 45nm 
Parameter Value 
Channel length (Lg) 45nm 
Oxide Thickness (tox) 1.5nm 
Si thickness (Tsi) 8.4nm 
Threshold voltage of front gate 
(Vthf0) 
0.31V 
Threshold voltage of back gate 
(Vthb0) 
0.31V 
Channel doping (Nch) 2*1016 cm-3 
V. Simulation Results 
In order to prove the claim that FinFETs give 
better performance in terms of power dissipation and 
leakage current than CMOS, simple inverter circuit is 
used. Inverter circuits using FinFETs and using 
CMOS at 45 nm are formed. They are simulated by 
varying the input voltage from 0 to 1V at intervals of 
0.001V. 
In Figure 2, the voltage transfer characteristics 
(VTC) of FinFET inverter and CMOS inverter at 45 
nm are compared. From the figure it is evident that 
the VTC curve for CMOS is steeper than that of 
FinFET. This is an advantage of CMOS over 
FinFET. 
Vin 
Vout 
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 
0 
0.15 
0.3 
0.45 
0.6 
0.75 
0.9 
1.05 
V(nd)(CMOS) 
V(nd)(FinFET) 
Fig. 2. Voltage transfer characteristics of FinFET and 
CMOS at 45 nm node 
In Figure 3, the power dissipation in the NMOS 
device of FinFET and CMOS inverters is compared. 
It can be seen that the peak power dissipated in 
CMOS circuit is 120uW whereas in FinFET circuit it 
is 22uW. The average power dissipation over the 
given range of input voltage is found to be 12.8uW in 
CMOS inverter and 5.2uW in FinFET inverter 
resulting in a 59.38% reduction.
Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com 
ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 
www.ijera.com 41 | P a g e 
Also the gate leakage current was observed to reduce 
from 1.8nA in CMOS to 0.0012fA in FinFET. 
Vin (Volts) 
Power Dissipated (Watts) 
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 
0 
1.5E-5 
3E-5 
4.5E-5 
6E-5 
7.5E-5 
9E-5 
0.000105 
0.00012 
CMOS 
FinFET 
Fig. 3. Power dissipation of NMOS in FinFET and 
CMOS inverters at 45 nm node 
Figures 4 and 5 show the write operations of 
SRAMs implemented using CMOS and FinFET 
respectively. The simulation is performed for a 
period of 75us. The bit line (BL) is first made high. 
Then after some time, the word line (WL) is made 
high. It can be seen that at that particular instant 
(35us), the storage bit (Q) becomes high. Thus write 
1operation is achieved. 
Fig. 4. Write operation of CMOS SRAM 
Fig. 5. Write operation of FinFET SRAM 
In the successive two figures (6 and 7), the 
power dissipation of these two SRAM circuits during 
write operation is shown. It shows the total switching 
power of all the four transistors forming the two 
inverters since switching takes place at these 
transistors. In both the figures, the first plot is of 
V(Q) i.e. voltage at storage node Q. The instant at 
which Q becomes 1 is the write 1 instant (35us) and 
the instant at which Q become 0 is the write 0 instant 
(50us). It can be seen that power dissipation in all the 
transistors occur at these two instances. Adding up 
the power of the four transistor, the total switching 
power dissipation of CMOS at write 1 is 587.9uW 
and that of FinFET is 9.76uW. 
Fig. 6. Total switching power in CMOS SRAM 
during write operation 
Fig. 7. Total switching power in FinFET SRAM 
during write operation 
Figures 8 and 9 show read operations of CMOS 
and FinFET SRAMs respectively. It can be seen that 
equivalent performance is obtained using FinFET 
instead of CMOS in the wrire and read operation. 
Fig. 8. Read operation of CMOS SRAM
Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com 
ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 
www.ijera.com 42 | P a g e 
Fig. 9. Read operation of FinFET SRAM Figures 10 and 11 show the power dissipation of CMOS SRAM and FinFET SRAM respectively during read operation. Fig. 10. Total switching power in CMOS SRAM during read operation Fig. 11. Total switching power in FinFET SRAM during read operation 
VI. Conclusion 
The limitations of CMOS scaling are reviewed. It is observed that FinFETs are capable to overcome these limitations effectively. The comparison of inverter circuits using CMOS and FinFETs at 45nm show that power reduction by 59.38% is achieved in FinFET circuit. Although it faces the disadvantage of a slower voltage transfer characteristics as compared to CMOS. The simulations of read and write operations of SRAM cell are found to be same using FinFETs and CMOS. Whereas the results of the switching power show a large reduction in the power using FinFETs than using CMOS. The results are tabulated below in TABLE 2. 
Table 2. Comparison of obtained results 
Performance Parameter 
CMOS 
FinFET 
% change in FinFET as compared to CMOS 
Average Power in SRAM Read Operation 
124uW 
8.76uW 
92.93% reduction 
Average Power in SRAM Write Operation 
896.17nW 
19.76nW 
97.8% reduction 
Average Power in 45nm Inverter 
12.8uW 
5.2uW 
59.38% reduction 
The results obtained from the simulation of SRAM cell help to prove that FinFETs can be used to reduce power without compromising on the performance. References 
[1] B. Swahn and S. Hassoun, “Gate Sizing: FinFETs vs 32nm Bulk MOSFETs”, Design Automation Conference, 2006 43rd ACM/IEEE, San Francisco, CA, 2006, 528- 531. 
[2] R. Joshi, K. Kim and R. Kanj, “FinFET SRAM Design”, in Nanoelectronic Circuit Design, Springer, 2011, 55-95. 
[3] Jan M. Rabaey Digital Integrated Circuits: A Design Perspective (Prentice-Hall of India Pvt. Ltd, New Delhi, October 2001). 
[4] M. Sathe and N. Sarwade, “Power Optimization at Nanoscale using FinFETs and its Comparison with CMOS”, International Journal of Computer Engineering and Applications, vol. 6, issue II, May 14, 33-41. 
[5] M. Rostami and K. Mohanram, “Dual-Vth Independent-Gate FinFETs for Low Power Logic Circuits”, IEEE Transactions on Computer-Aided Design Of Integrated Circuits And Systems, vol. 30, no. 3, March 2011, 337-349. 
[6] N. Bhoj and N. K. Jha, “Design of Ultra- low-leakage Logic Gates and Flip-flops in High-performance FinFET Technology”, International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, March 2011, 1-8. 
[7] Predictive Technology Modelling URL: http://ptm.asu.edu/
Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com 
ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 
www.ijera.com 43 | P a g e 
About the Authors Mugdha S. Sathe received the B.E. degree in Electronics Engineering from Mumbai University, India, in 2011. She is currently pursuing the M.Tech. degree in Electronics Engineering under guidance of Dr. Nisha Sarwade at Veermata Jijabai Technological Institute, Mumbai, India. Dr. Nisha P. Sarwade received the B.E. degree in Electronics Engineering from Jiwaji University, Gwalior and M.E. (Solid State Electronics) and PhD (Electronics Engineering) from University of Roorkee. She was working as a lecturer at the University of Roorkee during 1983-1987. Currently she is working as an Associate Professor at Veermata Jijabai Technological Institute, Mumbai, India. Her research interests include Nano Electronics with emphasis on CNT, Compound semiconductors, High- k dielectrics and flash memories and Microwave communication.

Weitere ähnliche Inhalte

Was ist angesagt?

Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...IOSRJVSP
 
28 GHz balanced pHEMT VCO with low phase noise and high output power performa...
28 GHz balanced pHEMT VCO with low phase noise and high output power performa...28 GHz balanced pHEMT VCO with low phase noise and high output power performa...
28 GHz balanced pHEMT VCO with low phase noise and high output power performa...IJECEIAES
 
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...VLSICS Design
 
Design and Realization of 2.4GHz Branch-line Coupler
Design and Realization of 2.4GHz Branch-line CouplerDesign and Realization of 2.4GHz Branch-line Coupler
Design and Realization of 2.4GHz Branch-line CouplerQuang Binh Pham
 
A coupled-line balun for ultra-wideband single-balanced diode mixer
A coupled-line balun for ultra-wideband single-balanced diode mixerA coupled-line balun for ultra-wideband single-balanced diode mixer
A coupled-line balun for ultra-wideband single-balanced diode mixerTELKOMNIKA JOURNAL
 
Design of ring vco using nine stages of differential amplifier
Design of ring vco using nine stages of differential amplifierDesign of ring vco using nine stages of differential amplifier
Design of ring vco using nine stages of differential amplifiereSAT Publishing House
 
Delay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsDelay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsIRJET Journal
 
Design procedures of bipolar low noise amplifier at radio frequency using s p...
Design procedures of bipolar low noise amplifier at radio frequency using s p...Design procedures of bipolar low noise amplifier at radio frequency using s p...
Design procedures of bipolar low noise amplifier at radio frequency using s p...mohamed albanna
 
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...VLSICS Design
 
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELLCNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELLVLSICS Design
 
Introduction to MOSFET
Introduction to MOSFETIntroduction to MOSFET
Introduction to MOSFETVARUN KUMAR
 
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...IOSR Journals
 
Bts-site-installation
 Bts-site-installation Bts-site-installation
Bts-site-installationAli Usman
 

Was ist angesagt? (17)

Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
 
A0160106
A0160106A0160106
A0160106
 
28 GHz balanced pHEMT VCO with low phase noise and high output power performa...
28 GHz balanced pHEMT VCO with low phase noise and high output power performa...28 GHz balanced pHEMT VCO with low phase noise and high output power performa...
28 GHz balanced pHEMT VCO with low phase noise and high output power performa...
 
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
 
Design and Realization of 2.4GHz Branch-line Coupler
Design and Realization of 2.4GHz Branch-line CouplerDesign and Realization of 2.4GHz Branch-line Coupler
Design and Realization of 2.4GHz Branch-line Coupler
 
G045053740
G045053740G045053740
G045053740
 
A coupled-line balun for ultra-wideband single-balanced diode mixer
A coupled-line balun for ultra-wideband single-balanced diode mixerA coupled-line balun for ultra-wideband single-balanced diode mixer
A coupled-line balun for ultra-wideband single-balanced diode mixer
 
Ijetcas14 648
Ijetcas14 648Ijetcas14 648
Ijetcas14 648
 
Design of ring vco using nine stages of differential amplifier
Design of ring vco using nine stages of differential amplifierDesign of ring vco using nine stages of differential amplifier
Design of ring vco using nine stages of differential amplifier
 
Delay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsDelay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI Applications
 
ieeeTemplateWImax1Lab08196366(1)
ieeeTemplateWImax1Lab08196366(1)ieeeTemplateWImax1Lab08196366(1)
ieeeTemplateWImax1Lab08196366(1)
 
Design procedures of bipolar low noise amplifier at radio frequency using s p...
Design procedures of bipolar low noise amplifier at radio frequency using s p...Design procedures of bipolar low noise amplifier at radio frequency using s p...
Design procedures of bipolar low noise amplifier at radio frequency using s p...
 
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
 
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELLCNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
 
Introduction to MOSFET
Introduction to MOSFETIntroduction to MOSFET
Introduction to MOSFET
 
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
 
Bts-site-installation
 Bts-site-installation Bts-site-installation
Bts-site-installation
 

Andere mochten auch

Finfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clgFinfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clgARUNASUJITHA
 
Mos short channel effects
Mos short channel effectsMos short channel effects
Mos short channel effectsSri Konduru
 
Finfet tech
Finfet techFinfet tech
Finfet techneela458
 
Ee660 ex 25_second_order_effects_schwappach
Ee660 ex 25_second_order_effects_schwappachEe660 ex 25_second_order_effects_schwappach
Ee660 ex 25_second_order_effects_schwappachLoren Schwappach
 
Matematicas
MatematicasMatematicas
Matematicaslegosss
 
Low voltage power MOSFET - A Discussion
Low voltage power MOSFET - A DiscussionLow voltage power MOSFET - A Discussion
Low voltage power MOSFET - A DiscussionSomsubhra Ghosh
 
finfet & dg-fet technology
finfet & dg-fet technologyfinfet & dg-fet technology
finfet & dg-fet technologyKritika Ramesh
 
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control ChallengesThe Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control Challengeschiportal
 
Short channel effects
Short channel effectsShort channel effects
Short channel effectsashish bait
 
Fin Fet Technology by SAMRA
Fin Fet  Technology by SAMRAFin Fet  Technology by SAMRA
Fin Fet Technology by SAMRABal Partap Singh
 
TNM Method Results Compared with Finite Element Analysis for a 30 KW SCIM Motor
TNM Method Results Compared with Finite Element Analysis for a 30 KW SCIM MotorTNM Method Results Compared with Finite Element Analysis for a 30 KW SCIM Motor
TNM Method Results Compared with Finite Element Analysis for a 30 KW SCIM MotorIJERA Editor
 
Impact of Vehicle Class and Tire Pressure on Pavement Performance in MEPDG
Impact of Vehicle Class and Tire Pressure on Pavement Performance in MEPDGImpact of Vehicle Class and Tire Pressure on Pavement Performance in MEPDG
Impact of Vehicle Class and Tire Pressure on Pavement Performance in MEPDGIJERA Editor
 

Andere mochten auch (20)

Finfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clgFinfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clg
 
Mos short channel effects
Mos short channel effectsMos short channel effects
Mos short channel effects
 
Finfet tech
Finfet techFinfet tech
Finfet tech
 
ICIECA 2014 Paper 23
ICIECA 2014 Paper 23ICIECA 2014 Paper 23
ICIECA 2014 Paper 23
 
Ee660 ex 25_second_order_effects_schwappach
Ee660 ex 25_second_order_effects_schwappachEe660 ex 25_second_order_effects_schwappach
Ee660 ex 25_second_order_effects_schwappach
 
Matematicas
MatematicasMatematicas
Matematicas
 
Wavy FinFET 3D Simulation
Wavy FinFET 3D SimulationWavy FinFET 3D Simulation
Wavy FinFET 3D Simulation
 
Low voltage power MOSFET - A Discussion
Low voltage power MOSFET - A DiscussionLow voltage power MOSFET - A Discussion
Low voltage power MOSFET - A Discussion
 
Sushant
SushantSushant
Sushant
 
finfet & dg-fet technology
finfet & dg-fet technologyfinfet & dg-fet technology
finfet & dg-fet technology
 
van hiele
van hielevan hiele
van hiele
 
3d transistor
3d transistor3d transistor
3d transistor
 
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control ChallengesThe Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
 
Short channel effects
Short channel effectsShort channel effects
Short channel effects
 
Short Channel Effect In MOSFET
Short Channel Effect In MOSFETShort Channel Effect In MOSFET
Short Channel Effect In MOSFET
 
Fin Fet Technology by SAMRA
Fin Fet  Technology by SAMRAFin Fet  Technology by SAMRA
Fin Fet Technology by SAMRA
 
D044032430
D044032430D044032430
D044032430
 
TNM Method Results Compared with Finite Element Analysis for a 30 KW SCIM Motor
TNM Method Results Compared with Finite Element Analysis for a 30 KW SCIM MotorTNM Method Results Compared with Finite Element Analysis for a 30 KW SCIM Motor
TNM Method Results Compared with Finite Element Analysis for a 30 KW SCIM Motor
 
Impact of Vehicle Class and Tire Pressure on Pavement Performance in MEPDG
Impact of Vehicle Class and Tire Pressure on Pavement Performance in MEPDGImpact of Vehicle Class and Tire Pressure on Pavement Performance in MEPDG
Impact of Vehicle Class and Tire Pressure on Pavement Performance in MEPDG
 
C505050911
C505050911C505050911
C505050911
 

Ähnlich wie Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Design of Nanoscale 3-T DRAM using FinFET
Design of Nanoscale 3-T DRAM using FinFETDesign of Nanoscale 3-T DRAM using FinFET
Design of Nanoscale 3-T DRAM using FinFETIOSR Journals
 
Segmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesSegmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesIOSR Journals
 
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerArea Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerIJMTST Journal
 
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...VLSICS Design
 
Operational transconductance amplifier-based comparator for high frequency a...
Operational transconductance amplifier-based comparator for  high frequency a...Operational transconductance amplifier-based comparator for  high frequency a...
Operational transconductance amplifier-based comparator for high frequency a...IJECEIAES
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveyIJERA Editor
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...IJSRED
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
 
Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopIAEME Publication
 
Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopIAEME Publication
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
 
Structural and Electrical Analysis of Various MOSFET Designs
Structural and Electrical Analysis of Various MOSFET DesignsStructural and Electrical Analysis of Various MOSFET Designs
Structural and Electrical Analysis of Various MOSFET DesignsIJERA Editor
 
Analysis of pocket double gate tunnel fet for low stand by power logic circuits
Analysis of pocket double gate tunnel fet for low stand by power logic circuitsAnalysis of pocket double gate tunnel fet for low stand by power logic circuits
Analysis of pocket double gate tunnel fet for low stand by power logic circuitsVLSICS Design
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full AdderIRJET Journal
 
Analysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
Analysis of FinFET and CNTFET based HybridCMOS Full Adder CircuitAnalysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
Analysis of FinFET and CNTFET based HybridCMOS Full Adder CircuitIRJET Journal
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...VIT-AP University
 
Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...
Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...
Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...iosrjce
 

Ähnlich wie Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE (20)

Design of Nanoscale 3-T DRAM using FinFET
Design of Nanoscale 3-T DRAM using FinFETDesign of Nanoscale 3-T DRAM using FinFET
Design of Nanoscale 3-T DRAM using FinFET
 
Kg3418451855
Kg3418451855Kg3418451855
Kg3418451855
 
Segmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesSegmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome images
 
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerArea Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
 
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
 
W04406104107
W04406104107W04406104107
W04406104107
 
Operational transconductance amplifier-based comparator for high frequency a...
Operational transconductance amplifier-based comparator for  high frequency a...Operational transconductance amplifier-based comparator for  high frequency a...
Operational transconductance amplifier-based comparator for high frequency a...
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flop
 
Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flop
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 
Structural and Electrical Analysis of Various MOSFET Designs
Structural and Electrical Analysis of Various MOSFET DesignsStructural and Electrical Analysis of Various MOSFET Designs
Structural and Electrical Analysis of Various MOSFET Designs
 
Analysis of pocket double gate tunnel fet for low stand by power logic circuits
Analysis of pocket double gate tunnel fet for low stand by power logic circuitsAnalysis of pocket double gate tunnel fet for low stand by power logic circuits
Analysis of pocket double gate tunnel fet for low stand by power logic circuits
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
Analysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
Analysis of FinFET and CNTFET based HybridCMOS Full Adder CircuitAnalysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
Analysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 
Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...
Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...
Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...
 
F010632733
F010632733F010632733
F010632733
 

Kürzlich hochgeladen

(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingrknatarajan
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduitsrknatarajan
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 

Kürzlich hochgeladen (20)

(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduits
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

  • 1. Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 www.ijera.com 39 | P a g e Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19) ** (Department of Electrical Engineering, VJTI, Mumbai-19) ABSTRACT According to the Moore’s Law, the number of transistors in a unit chip area double every two years. But the existing technology of integrated circuit formation is posing limitations to this law. CMOS technology shows certain limitations as the device is reduced more and more in the nanometer regime out of which power dissipation is an important issue. FinFET is evolving to be a promising technology in this regard. This paper aims to analyze and compare the characteristics of CMOS and FinFET circuits at 45nm technology. Inverter circuit is implemented in order to study the basic characteristics such as voltage transfer characteristics, leakage current and power dissipation. Further the efficiency of FinFET to reduce power as compared to CMOS is proved using SRAM circuit. The results show that the average power is reduced by 92.93% in read operation and by 97.8% in write operation. Keywords – 6T SRAM cell, CMOS vs FinFET, FinFETs, Low power nanoscale design, Power dissipation I. Introduction The integrated circuit technology is progressing at a great pace since the invention of first MOS microprocessor in 1970. The major driving force behind this progress is the technique of scaling. The scaling of MOS transistor has resulted in high density, high performance chips. But this miniaturization is obstructed by many unwanted issues that arise in MOS device as the device size goes on shrinking [1]. Out of these issues, power dissipation is a major drawback. It has been listed as one of the challenges in International Technology Roadmap for Semiconductors (ITRS) 2012. Different low power design techniques using CMOS are implemented but they see certain limitations. Hence the need for new transistor technology arises. In this report, one such technology, FinFET technology is studied. From the perspective of circuit operation, a FinFET behave very much similar to MOSFET. However, two gates provide a greater control over the channel because of which many performance parameters can be altered. The second section describes in brief about the limitations of CMOS scaling along with how these limitations can be overcome using FinFETs. The third section describes the operation of conventional 6T SRAM cell. In the fourth section the modelling parameters of FinFET and CMOS at 45nm are discussed. The simulation results are presented in the fifth section along with their analysis. II. Issues in Scaling: CMOS vs FinFET Short channel effects (SCEs) and leakage current are a major source of power dissipation in MOSFETs at nanoscale. An effect called drain-induced barrier lowering (DIBL) takes place when a high-drain voltage is applied to a short-channel device and the source injects carriers into the channel surface independent of gate voltage. Gate oxide tunneling of electrons can result in leakage when there is a high electric field across a thin gate oxide layer. Hot- carrier injection occurs in short-channel transistors. Because of a strong electric field near the silicon/silicon oxide interface, electrons or holes can gain enough energy to cross the interface and enter the oxide layer. Punchthrough leakage occurs when there is decreased separation between depletion regions at the drain-substrate and the source-substrate junctions. The front and back gates are electrically coupled to better control Short Channel Effects by substantially lowering both Drain Induced Barrier Lowering and sub-threshold slope (S). Therefore, FinFET devices are most suitable for low-power designs as they enable significant reduction in standby power while simultaneously providing increased performance [2]. Joshi, Kim and Kanj have analyzed in [2] the DG-nFET device. They have proved that a DG-MOSFET shows far superior device characteristics to its bulk-Si counterparts with much lower sub-threshold slope S (65 mV/V vs. 90 mV/V) and much suppressed DIBL (35 mV/V vs. 105 mV/V), which offer over 10 times reduced off- current. In order to overcome the issues regarding power dissipation, certain low power design techniques using CMOS are implemented [3]. Reduction in power dissipation can be achieved by reducing the RESEARCH ARTICLE OPEN ACCESS
  • 2. Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 www.ijera.com 40 | P a g e supply voltage but this has a disadvantage of increasing the delay. Reduction in threshold voltage increases leakage current. Lowering the capacitance have adverse effects on the system performance. The low power techniques employed for FinFET circuits effectively reduce the power of the circuit at the same time overcoming the above issues of CMOS techniques. The advantages of these techniques are summarized in [4]. Back-Gate biasing technique reduces VT variability, reduces leakage and thus power [1]. Dual-VT technique enables merging of transistors thus saving up on total power [5]. Asymmetric- ΦG produces low leakage current and high speed performance [6]. III. Operation of Conventional 6T SRAM Cell The conventional 6T SRAM cell using FinFETs is shown in Figure 1. This cell is made up six transistors. Two pairs of inverters are connected such that the output of one is fed to the input of the other and vice versa. This feedback connection stabilizes the storage nodes in the cell. Two other transistors are used as access transistors and are connected to the storage nodes (Q and QB) of the two inverters. The signals wordline (WL), bitline (BL) and bitlinebar (BLB) control the operation of the cell. When WL is high, the access transistors are turned on providing the access to the storage nodes. For write operation, BL and BLB are set according to the value to be written (complement of each other) and Q and QB are pulled to the required levels. For read operation, BL and BLB are precharged to high voltage. Either BL or BLB discharge depending upon the values of Q and QB, thus data is read. Fig. 1. 6T SRAM cell using FinFET IV. Modelling Parameters of FinFET at 45nm The key parameters of the FinFET model are its gate length (Lg), height of the fin (Hfin), thickness of gate oxide (tox), thickness of the fin (Tsi) and the channel width. These parameters define the performance of the circuit. They are important to minimize leakage current at the same time maintaining the on current. The model files used in here are PTM model files [7]. The values of the parameters used in this model of FinFET at 45nm are given in TABLE 1. Table 1. Modelling parameters of FinFET at 45nm Parameter Value Channel length (Lg) 45nm Oxide Thickness (tox) 1.5nm Si thickness (Tsi) 8.4nm Threshold voltage of front gate (Vthf0) 0.31V Threshold voltage of back gate (Vthb0) 0.31V Channel doping (Nch) 2*1016 cm-3 V. Simulation Results In order to prove the claim that FinFETs give better performance in terms of power dissipation and leakage current than CMOS, simple inverter circuit is used. Inverter circuits using FinFETs and using CMOS at 45 nm are formed. They are simulated by varying the input voltage from 0 to 1V at intervals of 0.001V. In Figure 2, the voltage transfer characteristics (VTC) of FinFET inverter and CMOS inverter at 45 nm are compared. From the figure it is evident that the VTC curve for CMOS is steeper than that of FinFET. This is an advantage of CMOS over FinFET. Vin Vout 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 0.15 0.3 0.45 0.6 0.75 0.9 1.05 V(nd)(CMOS) V(nd)(FinFET) Fig. 2. Voltage transfer characteristics of FinFET and CMOS at 45 nm node In Figure 3, the power dissipation in the NMOS device of FinFET and CMOS inverters is compared. It can be seen that the peak power dissipated in CMOS circuit is 120uW whereas in FinFET circuit it is 22uW. The average power dissipation over the given range of input voltage is found to be 12.8uW in CMOS inverter and 5.2uW in FinFET inverter resulting in a 59.38% reduction.
  • 3. Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 www.ijera.com 41 | P a g e Also the gate leakage current was observed to reduce from 1.8nA in CMOS to 0.0012fA in FinFET. Vin (Volts) Power Dissipated (Watts) 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 1.5E-5 3E-5 4.5E-5 6E-5 7.5E-5 9E-5 0.000105 0.00012 CMOS FinFET Fig. 3. Power dissipation of NMOS in FinFET and CMOS inverters at 45 nm node Figures 4 and 5 show the write operations of SRAMs implemented using CMOS and FinFET respectively. The simulation is performed for a period of 75us. The bit line (BL) is first made high. Then after some time, the word line (WL) is made high. It can be seen that at that particular instant (35us), the storage bit (Q) becomes high. Thus write 1operation is achieved. Fig. 4. Write operation of CMOS SRAM Fig. 5. Write operation of FinFET SRAM In the successive two figures (6 and 7), the power dissipation of these two SRAM circuits during write operation is shown. It shows the total switching power of all the four transistors forming the two inverters since switching takes place at these transistors. In both the figures, the first plot is of V(Q) i.e. voltage at storage node Q. The instant at which Q becomes 1 is the write 1 instant (35us) and the instant at which Q become 0 is the write 0 instant (50us). It can be seen that power dissipation in all the transistors occur at these two instances. Adding up the power of the four transistor, the total switching power dissipation of CMOS at write 1 is 587.9uW and that of FinFET is 9.76uW. Fig. 6. Total switching power in CMOS SRAM during write operation Fig. 7. Total switching power in FinFET SRAM during write operation Figures 8 and 9 show read operations of CMOS and FinFET SRAMs respectively. It can be seen that equivalent performance is obtained using FinFET instead of CMOS in the wrire and read operation. Fig. 8. Read operation of CMOS SRAM
  • 4. Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 www.ijera.com 42 | P a g e Fig. 9. Read operation of FinFET SRAM Figures 10 and 11 show the power dissipation of CMOS SRAM and FinFET SRAM respectively during read operation. Fig. 10. Total switching power in CMOS SRAM during read operation Fig. 11. Total switching power in FinFET SRAM during read operation VI. Conclusion The limitations of CMOS scaling are reviewed. It is observed that FinFETs are capable to overcome these limitations effectively. The comparison of inverter circuits using CMOS and FinFETs at 45nm show that power reduction by 59.38% is achieved in FinFET circuit. Although it faces the disadvantage of a slower voltage transfer characteristics as compared to CMOS. The simulations of read and write operations of SRAM cell are found to be same using FinFETs and CMOS. Whereas the results of the switching power show a large reduction in the power using FinFETs than using CMOS. The results are tabulated below in TABLE 2. Table 2. Comparison of obtained results Performance Parameter CMOS FinFET % change in FinFET as compared to CMOS Average Power in SRAM Read Operation 124uW 8.76uW 92.93% reduction Average Power in SRAM Write Operation 896.17nW 19.76nW 97.8% reduction Average Power in 45nm Inverter 12.8uW 5.2uW 59.38% reduction The results obtained from the simulation of SRAM cell help to prove that FinFETs can be used to reduce power without compromising on the performance. References [1] B. Swahn and S. Hassoun, “Gate Sizing: FinFETs vs 32nm Bulk MOSFETs”, Design Automation Conference, 2006 43rd ACM/IEEE, San Francisco, CA, 2006, 528- 531. [2] R. Joshi, K. Kim and R. Kanj, “FinFET SRAM Design”, in Nanoelectronic Circuit Design, Springer, 2011, 55-95. [3] Jan M. Rabaey Digital Integrated Circuits: A Design Perspective (Prentice-Hall of India Pvt. Ltd, New Delhi, October 2001). [4] M. Sathe and N. Sarwade, “Power Optimization at Nanoscale using FinFETs and its Comparison with CMOS”, International Journal of Computer Engineering and Applications, vol. 6, issue II, May 14, 33-41. [5] M. Rostami and K. Mohanram, “Dual-Vth Independent-Gate FinFETs for Low Power Logic Circuits”, IEEE Transactions on Computer-Aided Design Of Integrated Circuits And Systems, vol. 30, no. 3, March 2011, 337-349. [6] N. Bhoj and N. K. Jha, “Design of Ultra- low-leakage Logic Gates and Flip-flops in High-performance FinFET Technology”, International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, March 2011, 1-8. [7] Predictive Technology Modelling URL: http://ptm.asu.edu/
  • 5. Mugdha Sathe Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 7( Version 2), July 2014, pp.39-43 www.ijera.com 43 | P a g e About the Authors Mugdha S. Sathe received the B.E. degree in Electronics Engineering from Mumbai University, India, in 2011. She is currently pursuing the M.Tech. degree in Electronics Engineering under guidance of Dr. Nisha Sarwade at Veermata Jijabai Technological Institute, Mumbai, India. Dr. Nisha P. Sarwade received the B.E. degree in Electronics Engineering from Jiwaji University, Gwalior and M.E. (Solid State Electronics) and PhD (Electronics Engineering) from University of Roorkee. She was working as a lecturer at the University of Roorkee during 1983-1987. Currently she is working as an Associate Professor at Veermata Jijabai Technological Institute, Mumbai, India. Her research interests include Nano Electronics with emphasis on CNT, Compound semiconductors, High- k dielectrics and flash memories and Microwave communication.