SlideShare ist ein Scribd-Unternehmen logo
1 von 8
Downloaden Sie, um offline zu lesen
M Singh Sankhwar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159

RESEARCH ARTICLE

www.ijera.com

OPEN ACCESS

Design of High Speed Low Power Reversible Logic Adder
Using HNG Gate
Manjeet Singh Sankhwar *, Rajesh Khatri **
*. M.Tech Scholar ,Department of Electronics & Instrumentation ,Shree G.S.I.T.S Indore, India
**. Associate Professor ,Department of Electronics & Instrumentation, Shree G.S.I.T.S Indore, India

Abstract
Reversibility plays a fundamental role when computations with minimal energy dissipation are considered. In
recent years, reversible logic has emerged as one of the most important approaches for power optimization with
its application in low power CMOS, optical information processing, quantum computing and nanotechnology.
This research proposes a new implementation of adder in reversible logic. The design reduces the number of
gate operations compared to the existing adder reversible logic implementations. So, this design gives rise to an
implementation with a reduced area and delay. We can use it to construct more complex systems in
nanotechnology.
Keywords: Adder, Decimal Arithmetic, Reversible logic, Garbage output, HNG gate

I.

INTRODUCTION

Energy loss during computation is an important
consideration in low power digital design. Landauer's
principle states that a heat equivalent to kT*ln2 is
generated for every bit of information lost, where 'k'
is the Boltzmann's constant and T' is the temperature .
At room temperature, though the amount of heat
generated may be small it cannot be neglected for
low power designs. The amount of energy dissipated
in a system bears a direct relationship to the number
of bits erased during computation. Bennett showed
that energy dissipation would not occur if the
computations were carried out using reversible
circuits since these circuits do not lose information. A
reversible logic gate is an n-input, n-output (denoted
as n*n) device that maps each possible input pattern
to a unique output pattern. There is a significant
difference in the synthesis of logic circuits using
conventional gates and reversible gates. While
constructing reversible circuits with the help of
reversible gates fan-out of each output must be 1
without feedback loops. As the number of inputs and
outputs are made equal there may be a number of
unutilized outputs called garbage in certain reversible
implementations. This is the number of outputs added
to make an n-input k-output function reversible.
For example, a single output function of 'n'
variables will require at least n-1 garbage outputs.
Classical logic gates such as AND, OR, and XOR are
not reversible. Hence, these gates dissipate heat and
may reduce the life of the circuit. So, reversible logic
is in demand in power aware circuits. A reversible
conventional adder was proposed using conventional
reversible gates.

www.ijera.com

A Full adder design using two types of
reversible gates - NG (New Gate)and NTG (New
Toffoli Gate) with 2 garbage outputs was
implemented. The BCD adder was then designed
using such full adders. Even though the
implementation was improved in using TSG
reversible gates, this approach was not taking care of
the fanout restriction of reversible circuits, and hence
it was only a near-reversible implementation. An
improved reversible implementation of decimal adder
with reduced number of garbage outputs is proposed.
Another
improved
reversible
implementation of decimal adder using reversible
gates which results in further reduction in number of
gates and garbage outputs with a fanout of 1 is
proposed in fig9. The present work proposes a
modified version of decimal addition using reversible
gates which results in reduction in number of gate
operations in full adder reversible gates with a fanout
of 1.

II.

LITERATURE SURVEY

Energy loss is an important consideration in
digital circuit design. A part of this problem arises
from the technological non ideality of switches and
materials. The other part of the problem arises from
Landauer's principle for which there is no solution.
Landauer's Principle
states that logical
computations that are not reversible necessarily
generate k*T*ln (2) joules of heat energy, where k
is the Boltzmann's Constant k=1.38xlO-23 J/K, T
is the absolute temperature at which the
computation is performed. Although this amount of
heat appears to be small, Moore's Law predicts
exponential growth of heat generated due to
152 | P a g e
M Singh Sankhwar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159
information lost, which will be a noticeable amount
of heat loss in next decade. Also by second law of
thermodynamics any process that is reversible will
not change its entropy. On thermo dynamical
grounds, the erasure of one bit of information from
the mechanical degrees of a system must be
accompanied by the thermalization of an amount of
k*T*ln (2) joules of energy. The information entropy
H can be calculated for any probability distribution.
Similarly the thermodynamic entropy S refers to
thermodynamic probabilities specifically. Thus gain
in entropy always means loss of information, and
nothing more. Design that does not result in
information loss is called reversible. It naturally takes
care of heat generated due to information loss.
Bennett showed that zero energy dissipation would
be possible only if the network consists of reversible
logic gates, Thus reversibility will become an
essential property in future circuit design
technologies.

III.

REVERSIBLE LOGIC

Reversible logic is a promising computing
design paradigm which presents a method for
constructing computers that produce no heat
dissipation. Reversible computing emerged as a result
of the application of quantum mechanics principles
towards the development of a universal computing
machine. Specifically, the fundamentals of reversible
computing are based on the relationship between
entropy, heat transfer between molecules in a system,
the probability of a quantum particle occupying a
particular state at any given time, and the quantum
electrodynamics between electrons when they are in
dose proximity. The basic principle of reversible
computing is that a bi-jective device with an identical
number of input and output lines will produce a
computing environment where the electrodynamics
of the system allow for prediction of all future states
based on known past states, and the system reaches
every possible state, resulting in no heat dissipation A
reversible logic gate is an N-input N-output logic
device that provides one to one mapping between the
input and the output. It not only helps us to determine

Figure 1. Toffoli gate

www.ijera.com

www.ijera.com

the outputs from the inputs but also helps us to
uniquely recover the inputs from the outputs.
Garbage outputs are those which do not contribute to
the reversible logic realization of the design.
Quantum cast refers to the cost of the circuit in terms
of the cost of a primitive gate. Gate count is the
number of reversible gates used to realize the
function. Gate level refers to the number of levels
which are required to realize the given logic
functions.
The following are the important design
constraints for reversible logic circuits.
I. Reversible logic gates do not allow fan-outs.
2. Reversible logic circuits should have minimum
quantum cost.
3. The design can be optimized so as to produce
minimum number of garbage outputs.
4. The reversible logic circuits must use minimum
number of constant inputs.
5. The reversible logic circuits must use a minimum
logic depth or gate levels.
The basic reversible logic gates encountered
during the design are listed below:
1. Feynman Gate :
It is a 2x2 gate and its logic circuit is as shown in the
figure. It is also known as Controlled Not (CNOT)
Gate. It has quantum cost 1 and is generally used for
Fan Out purposes.
2. Peres Gate :
It is a 3x3 gate and its logic circuit is as shown in the
figure. It has quantum cost 4. It is used to realize
various Boolean functions such as AND, XOR.
3. Fred kin Gate :
It is a 3x3 gate and its logic circuit is as shown in the
figure. It has quantum cost 5. It can be used to
implement a Multiplexer.
4. HNG Gate :
It is a 4x4 gate and its logic circuit is as shown in the
figure. It has quantum cost 6. It is used for designing
ripple carry adders. It can produce both sum and
carry in a single gate thus minimizing the garbage
and gate counts.
5.Toffoli Gate : The 3*3 Reversible gate with 3
inputs and 3 outputs. It has Quantum cost 5

Quantum implementation of Toffoli gate

153 | P a g e
M Singh Sankhwar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159

Figure 2. Feynman gate

www.ijera.com

Feynman/CNOT gate quantum implementatio

Figure 3. Peres gate

Quantum implementation of Peres gate

Figure 4. Fredkin gate

Quantum implementation of Fredkin gate

Figure 5. HNG gate

IV.

Design of a Reversible Half-adder Circuit

Figure 6.
www.ijera.com

154 | P a g e
M Singh Sankhwar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159
V.

www.ijera.com

Design of a Reversible Full-adder Circuit(cont.)

Figure 7.

VI.

Existing Reversible Full-adder Circuits

Figure 8. 4 gates and 2 garbage outputs

VII.

Proposed Reversible Full-adder Circuits

Figure 9. 3 gates and 2 garbage outputs

www.ijera.com

3 gates and 3 garbage outputs

2 gates and 2 garbage outputs

155 | P a g e
M Singh Sankhwar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159
VIII.

Evaluation

The design of the reversible 4bit, 8bit,
16bit adder is logically verified using XILINX 8.1i
and MODELSIM. The simulation results are as
shown in figures.
Here we introduce a new function called
the "Total Reversible Logic Implementation Cost
(TRLlC)" which is defined as the sum of all the
cost metrics of a given reversible circuit. The
TRLIC can be deemed as a parameter which
reflects the overall performance of a reversible
logic circuit. TRLIC=∑(NG,CI,QC,GO) where NG
is the number of gates in the reversible circuit.CI is
the number of constant inputs, QC is the quantum
cost of the circuit. GO is the number of garbage

www.ijera.com

outputs. The following are the important design
constraints for any reversible logic circuits.
I. Reversible logic circuits should have minimum
quantum cost.
2. The design can be optimized so as to produce
minimum number of garbage outputs.
3. The reversible logic circuits must use minimum
number of constant inputs.
4. The reversible logic circuits must use a
minimum number of reversible gates.
8.1. Simulation Methodology
Xilinx 8.1i has been used to simulate the wave
forms. The simulator carefully modeled the
interconnections, the associated blocks and the
propagation delays.

RTL SCHEMATIC OF HNG GATE

RTL SCHEMATIC OF 4 BIT ADDER USING HNG GATE

RTL SCHEMATIC OF 8 BIT ADDER USING HNG GATE
www.ijera.com

156 | P a g e
M Singh Sankhwar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159

www.ijera.com

RTL SCHEMATIC OF 16 BIT ADDER USING HNG GATE
8.2.Results:
Reversible 4bit, 8bit, 16bit Adders are
implemented using VHDL code and Simulated
using Model sim Simulator. The overall logic is

implemented using Structural style of Modeling
and simulation results are shown in shown in
Figure below-

Simulation Results for HNG gate

Simulation Results for 4 Bit Adder

www.ijera.com

157 | P a g e
M Singh Sankhwar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159

www.ijera.com

Simulation Results for 8 Bit Adder

Simulation Results for 16 bit Adder

IX.

Conclusion

The Reversible HNG gate are used to
implement Adders . In this paper, we proposed
Reversible 4bit, 8bit,16 bit Parallel Binary Adder
unit. , these can be used for low power applications.
In future, the design can be extended to any number
of bits for Parallel Binary Adder unit and also for low
power Reversible ALUs, Multipliers and Dividers.

References
[1]

[2]

[3]

[4]

R. Landauer, "Irreversibility and Heat
Generation in the Computational Process",
IBM Journal of Research Development, 5,
1961, 183-191.
Bennett, C., "Logical Reversibility of
Computation," IBM Journal of Research
and Development, 17, 1973, 525-532.
Hafiz Md. Hasan Babu and A. R.
Chowdhury, "Design of a Reversible Binary
Coded Decimal Adder by Using Reversible
4-bit Parallel Adder", VLSI Design 2005,
pp-255-260, Kolkata, India, Jan 2005.
Himanshu. Thapliyal, S. Kotiyal and M.B
Srinivas, "Novel BCD Adders and their
Reversible Logic Implementation for IEEE
754r Format", VLSI Design 2006,
Hyderabad, India, Jan 4-7, 2006, pp. 387392.

www.ijera.com

[5]

R. James, T. K. Shahana, K. P. Jacob and S.
Sasi,"Improved
Reversible
Logic
Implementation of Decimal Adder", IEEE
11th VDAT Symposium Aug 8-11, 2007.
[6]
Md. M. H. Azad Khan, "Design of Fulladder
With
Reversible
Gates",
InternationalConference on Computer and
Information Technology, Bangladesh, 2002,
pp. 515-519.
[7]
R. Feynman, "Quantum Mechanical
Computers", Optical News, 1985, pp. 11-20.
[8]
H. Thapliyal and M.B Srinivas, "A Novel
Reversible TSG Gate and Its Application
forDesigning Reversible Carry Look-Ahead
and Other Adder Architectures", Tenth AsiaPacific Computer Systems Architecture
Conference, Singapore, Oct 24 - 26, 2005
[9]
Rekha K.james,Shahana T.K,T.Poulose
Jacob,Sreela Sasi “A new look at Reversible
logic
implementation
of
Decimal
adder”,IEEE 1- 4244-1368-0/07.
[10] Jagadguru Swami Sri Bharati Krishna
Tirthaji Maharaja, Vedic Mathematics:
Sixteen Simple Mathematical Formulae from
the Veda, Delhi (1965).
[11] Rakshith Saligram and Rakshith T.R.
"Novel
Code
Converter
Employing
Reversible Logic", International Journal of

158 | P a g e
M Singh Sankhwar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159
Computer
2012.

Applications

(IJCA),

www.ijera.com

August

[12] Himanshu. Thapliyal ,K.p Jacob, Majid
haghparast & Keivan Navi Design of High
speed low power Reversible Logic BCD
Adder Using HNG gate IEEE Transactions
on VLSI Systems,March 2013.

ABOUT THE AUTHORS

Manjeet Singh Sankhwar has 3 years
of Teaching experience and presently pursuing
MTech in Micro Electronics & VLSI Design in
SGSITS Indore, India .He received his Bachelor of
Engineering in Electronics and Communication from
UIT-RGPV Bhopal,India in 2008 . His main research
interests include Low Power VLSI design, Digital
design.

Mr. Rajesh Khatri has 20 years of
Teaching experience and presently working as
Associate Professor in Department of Electronics &
Instrumentation Engineering at SGSITS Indore. He
received his Bachelor of Engineering in Electronics
and Communication from SGGS Institute of
Technology Nanded, India in 1990. He received his
Master of Engineering in Instrumentation from
SGGS Institute of Technology Nanded, India in
1995.

www.ijera.com

159 | P a g e

Weitere ähnliche Inhalte

Was ist angesagt?

International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)inventionjournals
 
Implementation of Reversable Logic Based Design using Submicron Technology
Implementation of Reversable Logic Based Design using Submicron TechnologyImplementation of Reversable Logic Based Design using Submicron Technology
Implementation of Reversable Logic Based Design using Submicron TechnologySai Viswanath
 
Ieee project reversible logic gates by_amit
Ieee project reversible logic gates  by_amitIeee project reversible logic gates  by_amit
Ieee project reversible logic gates by_amitAmith Bhonsle
 
Design of Low Power Vedic Multiplier Based on Reversible Logic
Design of Low Power Vedic Multiplier Based on Reversible LogicDesign of Low Power Vedic Multiplier Based on Reversible Logic
Design of Low Power Vedic Multiplier Based on Reversible LogicIJERA Editor
 
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...IRJET Journal
 
Paper id 27201430
Paper id 27201430Paper id 27201430
Paper id 27201430IJRAT
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...VLSICS Design
 
Implementation of Effective Code Converters using Reversible Logic Gates
Implementation of Effective Code Converters using Reversible Logic Gates Implementation of Effective Code Converters using Reversible Logic Gates
Implementation of Effective Code Converters using Reversible Logic Gates IJERA Editor
 
9.design of high speed area efficient low power vedic multiplier using revers...
9.design of high speed area efficient low power vedic multiplier using revers...9.design of high speed area efficient low power vedic multiplier using revers...
9.design of high speed area efficient low power vedic multiplier using revers...nareshbk
 
Design of all digital phase locked loop
Design of all digital phase locked loopDesign of all digital phase locked loop
Design of all digital phase locked loopeSAT Publishing House
 
Design and minimization of reversible programmable logic arrays and its reali...
Design and minimization of reversible programmable logic arrays and its reali...Design and minimization of reversible programmable logic arrays and its reali...
Design and minimization of reversible programmable logic arrays and its reali...Sajib Mitra
 
Multiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital CircuitsMultiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital CircuitsIJERA Editor
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...IJMER
 
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYPOWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYecij
 
Design of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS TechnologyDesign of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS TechnologyIJMER
 
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224Tomas Elgeryd Ahnlund
 

Was ist angesagt? (19)

International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
Implementation of Reversable Logic Based Design using Submicron Technology
Implementation of Reversable Logic Based Design using Submicron TechnologyImplementation of Reversable Logic Based Design using Submicron Technology
Implementation of Reversable Logic Based Design using Submicron Technology
 
Dx34756759
Dx34756759Dx34756759
Dx34756759
 
Ieee project reversible logic gates by_amit
Ieee project reversible logic gates  by_amitIeee project reversible logic gates  by_amit
Ieee project reversible logic gates by_amit
 
Design of Low Power Vedic Multiplier Based on Reversible Logic
Design of Low Power Vedic Multiplier Based on Reversible LogicDesign of Low Power Vedic Multiplier Based on Reversible Logic
Design of Low Power Vedic Multiplier Based on Reversible Logic
 
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
 
Paper id 27201430
Paper id 27201430Paper id 27201430
Paper id 27201430
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
 
Implementation of Effective Code Converters using Reversible Logic Gates
Implementation of Effective Code Converters using Reversible Logic Gates Implementation of Effective Code Converters using Reversible Logic Gates
Implementation of Effective Code Converters using Reversible Logic Gates
 
9.design of high speed area efficient low power vedic multiplier using revers...
9.design of high speed area efficient low power vedic multiplier using revers...9.design of high speed area efficient low power vedic multiplier using revers...
9.design of high speed area efficient low power vedic multiplier using revers...
 
I43024751
I43024751I43024751
I43024751
 
Design of all digital phase locked loop
Design of all digital phase locked loopDesign of all digital phase locked loop
Design of all digital phase locked loop
 
Design and minimization of reversible programmable logic arrays and its reali...
Design and minimization of reversible programmable logic arrays and its reali...Design and minimization of reversible programmable logic arrays and its reali...
Design and minimization of reversible programmable logic arrays and its reali...
 
Ijciet 10 02_068
Ijciet 10 02_068Ijciet 10 02_068
Ijciet 10 02_068
 
Multiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital CircuitsMultiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital Circuits
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...
 
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYPOWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
 
Design of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS TechnologyDesign of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS Technology
 
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
 

Andere mochten auch

Estrategias y Recursos Didácticos
Estrategias y Recursos Didácticos Estrategias y Recursos Didácticos
Estrategias y Recursos Didácticos enriquenivelacion
 
Apostila day trade
Apostila day tradeApostila day trade
Apostila day tradevrezer2
 
Semana Mundial do Meio Ambiente Porto Alegre Paulo Marques e Alexandre Maia
Semana Mundial do Meio Ambiente Porto Alegre   Paulo Marques e Alexandre MaiaSemana Mundial do Meio Ambiente Porto Alegre   Paulo Marques e Alexandre Maia
Semana Mundial do Meio Ambiente Porto Alegre Paulo Marques e Alexandre MaiaPaulo Marques Porto Alegre
 
Apresentação goldPRimer 09-08-2015
Apresentação  goldPRimer  09-08-2015Apresentação  goldPRimer  09-08-2015
Apresentação goldPRimer 09-08-2015brasilprimer
 
White Genocide In South Africa - Here Are The Names
White Genocide In South Africa - Here Are The NamesWhite Genocide In South Africa - Here Are The Names
White Genocide In South Africa - Here Are The Namesminiatureafterl18
 
apostila de Arduíno ( iniciante )
apostila de Arduíno ( iniciante ) apostila de Arduíno ( iniciante )
apostila de Arduíno ( iniciante ) Juniior Felix
 
Acao 1063 do @MPF_Go Lupama GIANFRANCO ANTONIO VITORIO ARTUR PERASSO da CSA ...
Acao 1063 do @MPF_Go Lupama GIANFRANCO ANTONIO VITORIO ARTUR PERASSO  da CSA ...Acao 1063 do @MPF_Go Lupama GIANFRANCO ANTONIO VITORIO ARTUR PERASSO  da CSA ...
Acao 1063 do @MPF_Go Lupama GIANFRANCO ANTONIO VITORIO ARTUR PERASSO da CSA ...Aryantipt Aryantipt
 
A sociedade da BS3 do PT e o Marido de Erenice Guerra a FBM Farma e os R$ 16 ...
A sociedade da BS3 do PT e o Marido de Erenice Guerra a FBM Farma e os R$ 16 ...A sociedade da BS3 do PT e o Marido de Erenice Guerra a FBM Farma e os R$ 16 ...
A sociedade da BS3 do PT e o Marido de Erenice Guerra a FBM Farma e os R$ 16 ...Aryantipt Aryantipt
 
Dinâmica demográfica-2 c
Dinâmica demográfica-2 cDinâmica demográfica-2 c
Dinâmica demográfica-2 cGilberto Pires
 
Tratado de psicologia revolucionária V.M. Samael Aun Weor
Tratado de psicologia revolucionária V.M. Samael Aun WeorTratado de psicologia revolucionária V.M. Samael Aun Weor
Tratado de psicologia revolucionária V.M. Samael Aun WeorRui Paixão
 

Andere mochten auch (20)

V4102176181
V4102176181V4102176181
V4102176181
 
F41023946
F41023946F41023946
F41023946
 
U4102167175
U4102167175U4102167175
U4102167175
 
P4102112120
P4102112120P4102112120
P4102112120
 
rubik_solve
rubik_solverubik_solve
rubik_solve
 
Estrategias y Recursos Didácticos
Estrategias y Recursos Didácticos Estrategias y Recursos Didácticos
Estrategias y Recursos Didácticos
 
GQS6_ModaMILITAR_alta
GQS6_ModaMILITAR_altaGQS6_ModaMILITAR_alta
GQS6_ModaMILITAR_alta
 
Apostila day trade
Apostila day tradeApostila day trade
Apostila day trade
 
Los meses del año
Los meses del añoLos meses del año
Los meses del año
 
Semana Mundial do Meio Ambiente Porto Alegre Paulo Marques e Alexandre Maia
Semana Mundial do Meio Ambiente Porto Alegre   Paulo Marques e Alexandre MaiaSemana Mundial do Meio Ambiente Porto Alegre   Paulo Marques e Alexandre Maia
Semana Mundial do Meio Ambiente Porto Alegre Paulo Marques e Alexandre Maia
 
Apresentação goldPRimer 09-08-2015
Apresentação  goldPRimer  09-08-2015Apresentação  goldPRimer  09-08-2015
Apresentação goldPRimer 09-08-2015
 
White Genocide In South Africa - Here Are The Names
White Genocide In South Africa - Here Are The NamesWhite Genocide In South Africa - Here Are The Names
White Genocide In South Africa - Here Are The Names
 
apostila de Arduíno ( iniciante )
apostila de Arduíno ( iniciante ) apostila de Arduíno ( iniciante )
apostila de Arduíno ( iniciante )
 
Irving Penn
Irving PennIrving Penn
Irving Penn
 
Acao 1063 do @MPF_Go Lupama GIANFRANCO ANTONIO VITORIO ARTUR PERASSO da CSA ...
Acao 1063 do @MPF_Go Lupama GIANFRANCO ANTONIO VITORIO ARTUR PERASSO  da CSA ...Acao 1063 do @MPF_Go Lupama GIANFRANCO ANTONIO VITORIO ARTUR PERASSO  da CSA ...
Acao 1063 do @MPF_Go Lupama GIANFRANCO ANTONIO VITORIO ARTUR PERASSO da CSA ...
 
Mr-Ahmed El Sobky
Mr-Ahmed El SobkyMr-Ahmed El Sobky
Mr-Ahmed El Sobky
 
A sociedade da BS3 do PT e o Marido de Erenice Guerra a FBM Farma e os R$ 16 ...
A sociedade da BS3 do PT e o Marido de Erenice Guerra a FBM Farma e os R$ 16 ...A sociedade da BS3 do PT e o Marido de Erenice Guerra a FBM Farma e os R$ 16 ...
A sociedade da BS3 do PT e o Marido de Erenice Guerra a FBM Farma e os R$ 16 ...
 
Meu amor
Meu amorMeu amor
Meu amor
 
Dinâmica demográfica-2 c
Dinâmica demográfica-2 cDinâmica demográfica-2 c
Dinâmica demográfica-2 c
 
Tratado de psicologia revolucionária V.M. Samael Aun Weor
Tratado de psicologia revolucionária V.M. Samael Aun WeorTratado de psicologia revolucionária V.M. Samael Aun Weor
Tratado de psicologia revolucionária V.M. Samael Aun Weor
 

Ähnlich wie Design of High Speed Low Power Reversible Logic Adder

International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) inventionjournals
 
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...IRJET Journal
 
IRJET- Design and Implementation of Combinational Circuits using Reversib...
IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...
IRJET- Design and Implementation of Combinational Circuits using Reversib...IRJET Journal
 
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...IJERD Editor
 
Efficient Design of Reversible Multiplexers with Low Quantum Cost
Efficient Design of Reversible Multiplexers with Low Quantum CostEfficient Design of Reversible Multiplexers with Low Quantum Cost
Efficient Design of Reversible Multiplexers with Low Quantum CostIJERA Editor
 
Ieee project reversible logic gates by_amit
Ieee project reversible logic gates  by_amitIeee project reversible logic gates  by_amit
Ieee project reversible logic gates by_amitAmith Bhonsle
 
Design of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible LogicDesign of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible LogicIJERA Editor
 
Design of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible LogicDesign of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible LogicIJERA Editor
 
Design of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gatesDesign of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gatesIJERA Editor
 
Design of High speed Low Power Reversible Vedic multiplier and Reversible Div...
Design of High speed Low Power Reversible Vedic multiplier and Reversible Div...Design of High speed Low Power Reversible Vedic multiplier and Reversible Div...
Design of High speed Low Power Reversible Vedic multiplier and Reversible Div...IJERA Editor
 
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATESQUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATESDrKavitaKhare
 
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...IJERA Editor
 
Power and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible GatesPower and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible GatesRSIS International
 
Optimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gatesOptimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gateseSAT Journals
 
A Novel Design of 4 Bit Johnson Counter Using Reversible Logic Gates
A Novel Design of 4 Bit Johnson Counter Using Reversible Logic GatesA Novel Design of 4 Bit Johnson Counter Using Reversible Logic Gates
A Novel Design of 4 Bit Johnson Counter Using Reversible Logic Gatesijsrd.com
 
Optimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversibleOptimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversibleeSAT Publishing House
 
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...VLSICS Design
 
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATESOPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATESVLSICS Design
 

Ähnlich wie Design of High Speed Low Power Reversible Logic Adder (20)

International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
 
IRJET- Design and Implementation of Combinational Circuits using Reversib...
IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...
IRJET- Design and Implementation of Combinational Circuits using Reversib...
 
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
 
Ko2518481855
Ko2518481855Ko2518481855
Ko2518481855
 
Efficient Design of Reversible Multiplexers with Low Quantum Cost
Efficient Design of Reversible Multiplexers with Low Quantum CostEfficient Design of Reversible Multiplexers with Low Quantum Cost
Efficient Design of Reversible Multiplexers with Low Quantum Cost
 
Ieee project reversible logic gates by_amit
Ieee project reversible logic gates  by_amitIeee project reversible logic gates  by_amit
Ieee project reversible logic gates by_amit
 
Design of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible LogicDesign of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible Logic
 
Design of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible LogicDesign of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible Logic
 
Design of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gatesDesign of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gates
 
Design of High speed Low Power Reversible Vedic multiplier and Reversible Div...
Design of High speed Low Power Reversible Vedic multiplier and Reversible Div...Design of High speed Low Power Reversible Vedic multiplier and Reversible Div...
Design of High speed Low Power Reversible Vedic multiplier and Reversible Div...
 
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATESQUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
 
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
 
C046051216
C046051216C046051216
C046051216
 
Power and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible GatesPower and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible Gates
 
Optimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gatesOptimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gates
 
A Novel Design of 4 Bit Johnson Counter Using Reversible Logic Gates
A Novel Design of 4 Bit Johnson Counter Using Reversible Logic GatesA Novel Design of 4 Bit Johnson Counter Using Reversible Logic Gates
A Novel Design of 4 Bit Johnson Counter Using Reversible Logic Gates
 
Optimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversibleOptimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversible
 
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
 
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATESOPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
 

Kürzlich hochgeladen

Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Vector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesVector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesZilliz
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 

Kürzlich hochgeladen (20)

Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Vector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesVector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector Databases
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 

Design of High Speed Low Power Reversible Logic Adder

  • 1. M Singh Sankhwar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159 RESEARCH ARTICLE www.ijera.com OPEN ACCESS Design of High Speed Low Power Reversible Logic Adder Using HNG Gate Manjeet Singh Sankhwar *, Rajesh Khatri ** *. M.Tech Scholar ,Department of Electronics & Instrumentation ,Shree G.S.I.T.S Indore, India **. Associate Professor ,Department of Electronics & Instrumentation, Shree G.S.I.T.S Indore, India Abstract Reversibility plays a fundamental role when computations with minimal energy dissipation are considered. In recent years, reversible logic has emerged as one of the most important approaches for power optimization with its application in low power CMOS, optical information processing, quantum computing and nanotechnology. This research proposes a new implementation of adder in reversible logic. The design reduces the number of gate operations compared to the existing adder reversible logic implementations. So, this design gives rise to an implementation with a reduced area and delay. We can use it to construct more complex systems in nanotechnology. Keywords: Adder, Decimal Arithmetic, Reversible logic, Garbage output, HNG gate I. INTRODUCTION Energy loss during computation is an important consideration in low power digital design. Landauer's principle states that a heat equivalent to kT*ln2 is generated for every bit of information lost, where 'k' is the Boltzmann's constant and T' is the temperature . At room temperature, though the amount of heat generated may be small it cannot be neglected for low power designs. The amount of energy dissipated in a system bears a direct relationship to the number of bits erased during computation. Bennett showed that energy dissipation would not occur if the computations were carried out using reversible circuits since these circuits do not lose information. A reversible logic gate is an n-input, n-output (denoted as n*n) device that maps each possible input pattern to a unique output pattern. There is a significant difference in the synthesis of logic circuits using conventional gates and reversible gates. While constructing reversible circuits with the help of reversible gates fan-out of each output must be 1 without feedback loops. As the number of inputs and outputs are made equal there may be a number of unutilized outputs called garbage in certain reversible implementations. This is the number of outputs added to make an n-input k-output function reversible. For example, a single output function of 'n' variables will require at least n-1 garbage outputs. Classical logic gates such as AND, OR, and XOR are not reversible. Hence, these gates dissipate heat and may reduce the life of the circuit. So, reversible logic is in demand in power aware circuits. A reversible conventional adder was proposed using conventional reversible gates. www.ijera.com A Full adder design using two types of reversible gates - NG (New Gate)and NTG (New Toffoli Gate) with 2 garbage outputs was implemented. The BCD adder was then designed using such full adders. Even though the implementation was improved in using TSG reversible gates, this approach was not taking care of the fanout restriction of reversible circuits, and hence it was only a near-reversible implementation. An improved reversible implementation of decimal adder with reduced number of garbage outputs is proposed. Another improved reversible implementation of decimal adder using reversible gates which results in further reduction in number of gates and garbage outputs with a fanout of 1 is proposed in fig9. The present work proposes a modified version of decimal addition using reversible gates which results in reduction in number of gate operations in full adder reversible gates with a fanout of 1. II. LITERATURE SURVEY Energy loss is an important consideration in digital circuit design. A part of this problem arises from the technological non ideality of switches and materials. The other part of the problem arises from Landauer's principle for which there is no solution. Landauer's Principle states that logical computations that are not reversible necessarily generate k*T*ln (2) joules of heat energy, where k is the Boltzmann's Constant k=1.38xlO-23 J/K, T is the absolute temperature at which the computation is performed. Although this amount of heat appears to be small, Moore's Law predicts exponential growth of heat generated due to 152 | P a g e
  • 2. M Singh Sankhwar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159 information lost, which will be a noticeable amount of heat loss in next decade. Also by second law of thermodynamics any process that is reversible will not change its entropy. On thermo dynamical grounds, the erasure of one bit of information from the mechanical degrees of a system must be accompanied by the thermalization of an amount of k*T*ln (2) joules of energy. The information entropy H can be calculated for any probability distribution. Similarly the thermodynamic entropy S refers to thermodynamic probabilities specifically. Thus gain in entropy always means loss of information, and nothing more. Design that does not result in information loss is called reversible. It naturally takes care of heat generated due to information loss. Bennett showed that zero energy dissipation would be possible only if the network consists of reversible logic gates, Thus reversibility will become an essential property in future circuit design technologies. III. REVERSIBLE LOGIC Reversible logic is a promising computing design paradigm which presents a method for constructing computers that produce no heat dissipation. Reversible computing emerged as a result of the application of quantum mechanics principles towards the development of a universal computing machine. Specifically, the fundamentals of reversible computing are based on the relationship between entropy, heat transfer between molecules in a system, the probability of a quantum particle occupying a particular state at any given time, and the quantum electrodynamics between electrons when they are in dose proximity. The basic principle of reversible computing is that a bi-jective device with an identical number of input and output lines will produce a computing environment where the electrodynamics of the system allow for prediction of all future states based on known past states, and the system reaches every possible state, resulting in no heat dissipation A reversible logic gate is an N-input N-output logic device that provides one to one mapping between the input and the output. It not only helps us to determine Figure 1. Toffoli gate www.ijera.com www.ijera.com the outputs from the inputs but also helps us to uniquely recover the inputs from the outputs. Garbage outputs are those which do not contribute to the reversible logic realization of the design. Quantum cast refers to the cost of the circuit in terms of the cost of a primitive gate. Gate count is the number of reversible gates used to realize the function. Gate level refers to the number of levels which are required to realize the given logic functions. The following are the important design constraints for reversible logic circuits. I. Reversible logic gates do not allow fan-outs. 2. Reversible logic circuits should have minimum quantum cost. 3. The design can be optimized so as to produce minimum number of garbage outputs. 4. The reversible logic circuits must use minimum number of constant inputs. 5. The reversible logic circuits must use a minimum logic depth or gate levels. The basic reversible logic gates encountered during the design are listed below: 1. Feynman Gate : It is a 2x2 gate and its logic circuit is as shown in the figure. It is also known as Controlled Not (CNOT) Gate. It has quantum cost 1 and is generally used for Fan Out purposes. 2. Peres Gate : It is a 3x3 gate and its logic circuit is as shown in the figure. It has quantum cost 4. It is used to realize various Boolean functions such as AND, XOR. 3. Fred kin Gate : It is a 3x3 gate and its logic circuit is as shown in the figure. It has quantum cost 5. It can be used to implement a Multiplexer. 4. HNG Gate : It is a 4x4 gate and its logic circuit is as shown in the figure. It has quantum cost 6. It is used for designing ripple carry adders. It can produce both sum and carry in a single gate thus minimizing the garbage and gate counts. 5.Toffoli Gate : The 3*3 Reversible gate with 3 inputs and 3 outputs. It has Quantum cost 5 Quantum implementation of Toffoli gate 153 | P a g e
  • 3. M Singh Sankhwar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159 Figure 2. Feynman gate www.ijera.com Feynman/CNOT gate quantum implementatio Figure 3. Peres gate Quantum implementation of Peres gate Figure 4. Fredkin gate Quantum implementation of Fredkin gate Figure 5. HNG gate IV. Design of a Reversible Half-adder Circuit Figure 6. www.ijera.com 154 | P a g e
  • 4. M Singh Sankhwar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159 V. www.ijera.com Design of a Reversible Full-adder Circuit(cont.) Figure 7. VI. Existing Reversible Full-adder Circuits Figure 8. 4 gates and 2 garbage outputs VII. Proposed Reversible Full-adder Circuits Figure 9. 3 gates and 2 garbage outputs www.ijera.com 3 gates and 3 garbage outputs 2 gates and 2 garbage outputs 155 | P a g e
  • 5. M Singh Sankhwar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159 VIII. Evaluation The design of the reversible 4bit, 8bit, 16bit adder is logically verified using XILINX 8.1i and MODELSIM. The simulation results are as shown in figures. Here we introduce a new function called the "Total Reversible Logic Implementation Cost (TRLlC)" which is defined as the sum of all the cost metrics of a given reversible circuit. The TRLIC can be deemed as a parameter which reflects the overall performance of a reversible logic circuit. TRLIC=∑(NG,CI,QC,GO) where NG is the number of gates in the reversible circuit.CI is the number of constant inputs, QC is the quantum cost of the circuit. GO is the number of garbage www.ijera.com outputs. The following are the important design constraints for any reversible logic circuits. I. Reversible logic circuits should have minimum quantum cost. 2. The design can be optimized so as to produce minimum number of garbage outputs. 3. The reversible logic circuits must use minimum number of constant inputs. 4. The reversible logic circuits must use a minimum number of reversible gates. 8.1. Simulation Methodology Xilinx 8.1i has been used to simulate the wave forms. The simulator carefully modeled the interconnections, the associated blocks and the propagation delays. RTL SCHEMATIC OF HNG GATE RTL SCHEMATIC OF 4 BIT ADDER USING HNG GATE RTL SCHEMATIC OF 8 BIT ADDER USING HNG GATE www.ijera.com 156 | P a g e
  • 6. M Singh Sankhwar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159 www.ijera.com RTL SCHEMATIC OF 16 BIT ADDER USING HNG GATE 8.2.Results: Reversible 4bit, 8bit, 16bit Adders are implemented using VHDL code and Simulated using Model sim Simulator. The overall logic is implemented using Structural style of Modeling and simulation results are shown in shown in Figure below- Simulation Results for HNG gate Simulation Results for 4 Bit Adder www.ijera.com 157 | P a g e
  • 7. M Singh Sankhwar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159 www.ijera.com Simulation Results for 8 Bit Adder Simulation Results for 16 bit Adder IX. Conclusion The Reversible HNG gate are used to implement Adders . In this paper, we proposed Reversible 4bit, 8bit,16 bit Parallel Binary Adder unit. , these can be used for low power applications. In future, the design can be extended to any number of bits for Parallel Binary Adder unit and also for low power Reversible ALUs, Multipliers and Dividers. References [1] [2] [3] [4] R. Landauer, "Irreversibility and Heat Generation in the Computational Process", IBM Journal of Research Development, 5, 1961, 183-191. Bennett, C., "Logical Reversibility of Computation," IBM Journal of Research and Development, 17, 1973, 525-532. Hafiz Md. Hasan Babu and A. R. Chowdhury, "Design of a Reversible Binary Coded Decimal Adder by Using Reversible 4-bit Parallel Adder", VLSI Design 2005, pp-255-260, Kolkata, India, Jan 2005. Himanshu. Thapliyal, S. Kotiyal and M.B Srinivas, "Novel BCD Adders and their Reversible Logic Implementation for IEEE 754r Format", VLSI Design 2006, Hyderabad, India, Jan 4-7, 2006, pp. 387392. www.ijera.com [5] R. James, T. K. Shahana, K. P. Jacob and S. Sasi,"Improved Reversible Logic Implementation of Decimal Adder", IEEE 11th VDAT Symposium Aug 8-11, 2007. [6] Md. M. H. Azad Khan, "Design of Fulladder With Reversible Gates", InternationalConference on Computer and Information Technology, Bangladesh, 2002, pp. 515-519. [7] R. Feynman, "Quantum Mechanical Computers", Optical News, 1985, pp. 11-20. [8] H. Thapliyal and M.B Srinivas, "A Novel Reversible TSG Gate and Its Application forDesigning Reversible Carry Look-Ahead and Other Adder Architectures", Tenth AsiaPacific Computer Systems Architecture Conference, Singapore, Oct 24 - 26, 2005 [9] Rekha K.james,Shahana T.K,T.Poulose Jacob,Sreela Sasi “A new look at Reversible logic implementation of Decimal adder”,IEEE 1- 4244-1368-0/07. [10] Jagadguru Swami Sri Bharati Krishna Tirthaji Maharaja, Vedic Mathematics: Sixteen Simple Mathematical Formulae from the Veda, Delhi (1965). [11] Rakshith Saligram and Rakshith T.R. "Novel Code Converter Employing Reversible Logic", International Journal of 158 | P a g e
  • 8. M Singh Sankhwar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 2), January 2014, pp.152-159 Computer 2012. Applications (IJCA), www.ijera.com August [12] Himanshu. Thapliyal ,K.p Jacob, Majid haghparast & Keivan Navi Design of High speed low power Reversible Logic BCD Adder Using HNG gate IEEE Transactions on VLSI Systems,March 2013. ABOUT THE AUTHORS Manjeet Singh Sankhwar has 3 years of Teaching experience and presently pursuing MTech in Micro Electronics & VLSI Design in SGSITS Indore, India .He received his Bachelor of Engineering in Electronics and Communication from UIT-RGPV Bhopal,India in 2008 . His main research interests include Low Power VLSI design, Digital design. Mr. Rajesh Khatri has 20 years of Teaching experience and presently working as Associate Professor in Department of Electronics & Instrumentation Engineering at SGSITS Indore. He received his Bachelor of Engineering in Electronics and Communication from SGGS Institute of Technology Nanded, India in 1990. He received his Master of Engineering in Instrumentation from SGGS Institute of Technology Nanded, India in 1995. www.ijera.com 159 | P a g e