SlideShare ist ein Scribd-Unternehmen logo
1 von 17
K.YOGESHWARAN
ASSISTANT PROFESSOR/ECE
KIT-KALAIGNARKARUNANIDHI INSTITUTE OF
TECHNOLOGY,CIOMBATORE
emperoryogi.yogesh@gmail.com
9789631474
ASIC DESGIN FLOW
Design Flow
LOGICAL DESIGN(FRONTEND) Consists of following steps
1.Design Entry
2.Logic Synthesis
3.System Partitioning
4.Pre Layout Simulation
PHYSICAL DESIGN(BACKEND) Consists of following steps
1.Floorplanning
2.Placement
3.Routing
4.Circuit Extraction
5.Post Layout Simulation
1. Design entry - Using a hardware description language ( HDL ) or
schematic entry
2. Logic synthesis - Produces a netlist - logic cells and their
connections
3. System partitioning - Divide a large system into ASIC-sized pieces
4. Prelayout simulation - Check to see if the design functions
correctly
5. Floorplanning - Arrange the blocks of the netlist on the chip
6. Placement - Decide the locations of cells in a block
7. Routing - Make the connections between cells and blocks
8. Extraction - Determine the resistance and capacitance of the
interconnect
9. Postlayout simulation - Check to see the design still works with
the added loads of the interconnect
1.Design Entry
 The designer starts the design with a text description
or system specific language like HDL, C language etc.
2.Logic Synthesis
Logic synthesis is the process of converting a high-
level description of design into an optimized gate-level
representation.
It generally helps to produce the netlist consisting the
description and interconnection of logic cells.
3.System Partitioning
 Goal: Partition of a System into number of ASIC’s
 Objective: Minimize the number of external
connection between each ASIC. Keep each ASIC
smaller than max size.
Partitioning of a large design into a small
ASIC design takes place.
This is done mainly to separate different
functional blocks and also to make
placement and routing easier.
4.Pre Layout Simulation
Prelayout Simulation allows checking whether
the design functions correct or not.
Gate level functionality and timing(Delay)
details can be verified.
It is also called as Functional Verification.
5.Floorplanning
Goal: Calculate the size of blocks and assign
them locations.
Objective: Keep highly connected blocks
physically close to each other.
It is the first step in the physical design flow.
Arrange the blocks of the netlist on the chip.
It is the Tentative placement of its major
functional blocks.
Slicing Floorplan:
One that can be obtained by
repetitively subdividing (slicing)
rectangles horizontally or vertically.
Non-Slicing Floorplan:
One that may not be obtained by
repetitively subdividing alone.
6.Placement
Goal: Assign the interconnect areas and the
locations of all the logic cells with in the flexible
block.
Objective: Minimize the ASIC area and the
interconnects.
Allows the placement of cells present in the
block.
Assigns exact locations for various circuit
components within the chip’s core area.
Placement is much more suited to automation
than Floorplanning.
7.Routing
 Make the connections between cells and blocks.
 It is the process of creating physical connections
based on logical connectivity. signal pins are
connected by routing metal interconnects.
Global Routing:
 Goal: Determine the location of all the interconnects.
Objective: Minimize the total interconnect area.
 Wire segments are tentatively assigned (embedded)
within the chip layout .
Detailed Routing:
 Goal: Completely route all the interconnects on the
chip.
 Objective: Minimize the total interconnect length
used.
 Find actual geometric layout of each net within
assigned routing regions.
8.Circuit Extraction
 Determine the resistance and capacitance of the
interconnect.
 Basically it’s link between two domains.
1.Physical Domain
2.Electrical Domain
 Physical domain -it’s uses the physical information
like shapes of the design.
 Electrical domain-provide the electrical information's
(connectivity of C,R,L)
9.Postlayout simulation
Post-layout simulation you can extract the
parasitic interconnect.
To verify that your functional stimulus still
works with accurate timing.
THANK YOU

Weitere ähnliche Inhalte

Was ist angesagt?

ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flowAnish Gupta
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notesDr.YNM
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.Ashish Singh
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
VLSI Fresher Resume
VLSI Fresher ResumeVLSI Fresher Resume
VLSI Fresher Resumevikas kumar
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
Sequential cmos logic circuits
Sequential cmos logic circuitsSequential cmos logic circuits
Sequential cmos logic circuitsSakshi Bhargava
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design pptAnil Yadav
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 

Was ist angesagt? (20)

ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
VLSI Fresher Resume
VLSI Fresher ResumeVLSI Fresher Resume
VLSI Fresher Resume
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Asic design
Asic designAsic design
Asic design
 
Placement
PlacementPlacement
Placement
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
FPGA
FPGAFPGA
FPGA
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
SoC: System On Chip
SoC: System On ChipSoC: System On Chip
SoC: System On Chip
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Sequential cmos logic circuits
Sequential cmos logic circuitsSequential cmos logic circuits
Sequential cmos logic circuits
 
Asic
AsicAsic
Asic
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 

Andere mochten auch

VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentationDaola Khungur
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training pptBhagwan Lal Teli
 
Group discussion
Group discussionGroup discussion
Group discussionA B Shinde
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global RoutingTeam-VLSI-ITMU
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flowijsrd.com
 
WiGig power point presenttion
WiGig power point presenttionWiGig power point presenttion
WiGig power point presenttionVishal S Pai
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012babak danyal
 
Full Custom IC Design Implementation of Priority Encoder
Full Custom IC Design Implementation of Priority EncoderFull Custom IC Design Implementation of Priority Encoder
Full Custom IC Design Implementation of Priority EncoderBhargavKatkam
 
Ubuntu linux introduction
Ubuntu linux introductionUbuntu linux introduction
Ubuntu linux introductionTien Nguyen
 
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)Naresh Dhamija
 
6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in ChandigarhNaresh Dhamija
 
Full custom digital ic design of priority encoder
Full custom digital ic design of priority encoderFull custom digital ic design of priority encoder
Full custom digital ic design of priority encoderVishesh Thakur
 
Standard cells library design
Standard cells library designStandard cells library design
Standard cells library designBharat Biyani
 
Wi-Gig (Wireless Gigabit Alliance) ppt
Wi-Gig (Wireless Gigabit Alliance) pptWi-Gig (Wireless Gigabit Alliance) ppt
Wi-Gig (Wireless Gigabit Alliance) pptAbida Zama
 
Wireless electronic notice board using rf
Wireless electronic notice board using rfWireless electronic notice board using rf
Wireless electronic notice board using rfimshivanand
 

Andere mochten auch (20)

ASIC
ASICASIC
ASIC
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Group discussion
Group discussionGroup discussion
Group discussion
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
WiGig power point presenttion
WiGig power point presenttionWiGig power point presenttion
WiGig power point presenttion
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
Full Custom IC Design Implementation of Priority Encoder
Full Custom IC Design Implementation of Priority EncoderFull Custom IC Design Implementation of Priority Encoder
Full Custom IC Design Implementation of Priority Encoder
 
Ubuntu linux introduction
Ubuntu linux introductionUbuntu linux introduction
Ubuntu linux introduction
 
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
 
Wi-gig
Wi-gigWi-gig
Wi-gig
 
6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh
 
Full custom digital ic design of priority encoder
Full custom digital ic design of priority encoderFull custom digital ic design of priority encoder
Full custom digital ic design of priority encoder
 
Standard cells library design
Standard cells library designStandard cells library design
Standard cells library design
 
DSD
DSDDSD
DSD
 
Wi-Gig (Wireless Gigabit Alliance) ppt
Wi-Gig (Wireless Gigabit Alliance) pptWi-Gig (Wireless Gigabit Alliance) ppt
Wi-Gig (Wireless Gigabit Alliance) ppt
 
Wireless electronic notice board using rf
Wireless electronic notice board using rfWireless electronic notice board using rf
Wireless electronic notice board using rf
 
Asic
AsicAsic
Asic
 

Ähnlich wie Asic design flow

Ähnlich wie Asic design flow (20)

VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Back end[1] debdeep
Back end[1]  debdeepBack end[1]  debdeep
Back end[1] debdeep
 
VLSI- Unit I
VLSI- Unit IVLSI- Unit I
VLSI- Unit I
 
Asic &fpga
Asic &fpgaAsic &fpga
Asic &fpga
 
Full IC Flow.docx
Full IC Flow.docxFull IC Flow.docx
Full IC Flow.docx
 
shashank_hpca1995_00386533
shashank_hpca1995_00386533shashank_hpca1995_00386533
shashank_hpca1995_00386533
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
Floor planning
Floor planningFloor planning
Floor planning
 
San lesson plan gad
San lesson plan gadSan lesson plan gad
San lesson plan gad
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passing
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
SDAccel Design Contest: Intro
SDAccel Design Contest: IntroSDAccel Design Contest: Intro
SDAccel Design Contest: Intro
 
VLSI design flow.pptx
VLSI design flow.pptxVLSI design flow.pptx
VLSI design flow.pptx
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Vlsi design process
Vlsi design processVlsi design process
Vlsi design process
 

Kürzlich hochgeladen

UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduitsrknatarajan
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...ranjana rawat
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...roncy bisnoi
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 

Kürzlich hochgeladen (20)

UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduits
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 

Asic design flow

  • 1. K.YOGESHWARAN ASSISTANT PROFESSOR/ECE KIT-KALAIGNARKARUNANIDHI INSTITUTE OF TECHNOLOGY,CIOMBATORE emperoryogi.yogesh@gmail.com 9789631474 ASIC DESGIN FLOW
  • 3. LOGICAL DESIGN(FRONTEND) Consists of following steps 1.Design Entry 2.Logic Synthesis 3.System Partitioning 4.Pre Layout Simulation PHYSICAL DESIGN(BACKEND) Consists of following steps 1.Floorplanning 2.Placement 3.Routing 4.Circuit Extraction 5.Post Layout Simulation
  • 4. 1. Design entry - Using a hardware description language ( HDL ) or schematic entry 2. Logic synthesis - Produces a netlist - logic cells and their connections 3. System partitioning - Divide a large system into ASIC-sized pieces 4. Prelayout simulation - Check to see if the design functions correctly 5. Floorplanning - Arrange the blocks of the netlist on the chip 6. Placement - Decide the locations of cells in a block 7. Routing - Make the connections between cells and blocks 8. Extraction - Determine the resistance and capacitance of the interconnect 9. Postlayout simulation - Check to see the design still works with the added loads of the interconnect
  • 5. 1.Design Entry  The designer starts the design with a text description or system specific language like HDL, C language etc. 2.Logic Synthesis Logic synthesis is the process of converting a high- level description of design into an optimized gate-level representation. It generally helps to produce the netlist consisting the description and interconnection of logic cells.
  • 6. 3.System Partitioning  Goal: Partition of a System into number of ASIC’s  Objective: Minimize the number of external connection between each ASIC. Keep each ASIC smaller than max size.
  • 7. Partitioning of a large design into a small ASIC design takes place. This is done mainly to separate different functional blocks and also to make placement and routing easier.
  • 8. 4.Pre Layout Simulation Prelayout Simulation allows checking whether the design functions correct or not. Gate level functionality and timing(Delay) details can be verified. It is also called as Functional Verification.
  • 9. 5.Floorplanning Goal: Calculate the size of blocks and assign them locations. Objective: Keep highly connected blocks physically close to each other. It is the first step in the physical design flow. Arrange the blocks of the netlist on the chip. It is the Tentative placement of its major functional blocks.
  • 10. Slicing Floorplan: One that can be obtained by repetitively subdividing (slicing) rectangles horizontally or vertically. Non-Slicing Floorplan: One that may not be obtained by repetitively subdividing alone.
  • 11. 6.Placement Goal: Assign the interconnect areas and the locations of all the logic cells with in the flexible block. Objective: Minimize the ASIC area and the interconnects. Allows the placement of cells present in the block. Assigns exact locations for various circuit components within the chip’s core area. Placement is much more suited to automation than Floorplanning.
  • 12.
  • 13. 7.Routing  Make the connections between cells and blocks.  It is the process of creating physical connections based on logical connectivity. signal pins are connected by routing metal interconnects. Global Routing:  Goal: Determine the location of all the interconnects. Objective: Minimize the total interconnect area.  Wire segments are tentatively assigned (embedded) within the chip layout .
  • 14. Detailed Routing:  Goal: Completely route all the interconnects on the chip.  Objective: Minimize the total interconnect length used.  Find actual geometric layout of each net within assigned routing regions.
  • 15. 8.Circuit Extraction  Determine the resistance and capacitance of the interconnect.  Basically it’s link between two domains. 1.Physical Domain 2.Electrical Domain  Physical domain -it’s uses the physical information like shapes of the design.  Electrical domain-provide the electrical information's (connectivity of C,R,L)
  • 16. 9.Postlayout simulation Post-layout simulation you can extract the parasitic interconnect. To verify that your functional stimulus still works with accurate timing.