SlideShare ist ein Scribd-Unternehmen logo
1 von 80
Kunal Promode Ghosh
                   M.Tech (IIT Bombay)
9/11/2012   http://www.vlsisystemdesign.com/   1
Physical Design (PD) Flow

• Physical Design Flowchart (What to do ?, Why to do ?
  And How to do ? in Physical Design)
• Physical Design Learning Methodology

1. Physical Design Flow (What to do?)
2. Fundamentals Related to Flow (Why to do?)
3. PD Tools Introduction (How to do?)


 9/11/2012           www.vlsisystemdesign.com      2
Physical Design (PD) Flow




9/11/2012          www.vlsisystemdesign.com   3
9/11/2012   www.vlsisystemdesign.com   4
What to do?



1. A 'core' is the section of the chip
   where the fundamental logic of                                             Hcore     Hdie
   the design is placed.

2. A ‘die’, is small semiconductor
   material specimen on which the
   fundamental circuit is fabricated.                                                          Die
                                                                    Wcore
                                                                                               Core
                                                                    Wdie
                                                  Define Width & Height of Core & Die

  9/11/2012                              www.vlsisystemdesign.com                              5
What to do?



1. The preplaced cells are the
   critical cells, related to clocks, viz.             Clock Mux
   clock buffers, clock mux, etc. and
                                                                         Output
   also few other cells such as                                          Clock
   RAM's, ROM's etc.                                                     Buffer

                                                          Input
2. These cells are placed into core                       Clock
   before placement and routing                           Buffer                        Die
   stage, and hence are called
   'preplaced cells'.
                                                                                        Core

                                                 Define Locations of Pre-Placed cells


  9/11/2012                              www.vlsisystemdesign.com                       6
What to do?


                                                           Decap




                                                                      Decap
                                                  Decap
1. A decoupling capacitor is used to
   decouple the critical cells from                       Clock Mux
                                                                                      Decap
   main power supply, in order to




                                                                                               Decap
                                                                              Decap
                                                                                      Output
   protect the cells from the                               Decap
                                                                                      Clock
   disturbance occurring in the                            Decap                      Buffer
   power distribution lines and




                                                                      Decap
                                                  Decap
                                                                                      Decap
                                                           Input
   source                                                  Clock
                                                           Buffer                                      Die
2. The purpose of using decoupling                          Decap
   capacitors is to deliver required
   current to the gates during                                                                         Core
   switching
                                               De-Coupling Capacitors surrounding
                                                       Pre-placed Cells
  9/11/2012                            www.vlsisystemdesign.com                                        7
What to do?


                                                     Decap




                                                                Decap
                                            Decap
1. While drawing any circuit                        Clock Mux
                                                                                Decap
   on paper, we have only one




                                                                                         Decap
                                                                        Decap
   'vdd' at the top and one                           Decap
                                                                                Output
                                                                                Clock
   'vss' at the bottom
                                                     Decap                      Buffer




                                                                Decap
                                            Decap
                                                                                Decap
2. But on a chip, it becomes                         Input
   necessary to have a grid                          Clock
                                                     Buffer                                      Die
   structure of power, with
                                                      Decap
   more than one 'vdd' and
   'vss'                                                                                         Core

                                                            Power Planning


  9/11/2012                      www.vlsisystemdesign.com                                        8
What to do?


                                                     Decap




                                                                Decap
                                            Decap
1. While drawing any circuit                        Clock Mux
                                                                                Decap
   on paper, we have only one




                                                                                         Decap
                                                                        Decap
   'vdd' at the top and one                           Decap
                                                                                Output
                                                                                Clock
   'vss' at the bottom
                                                     Decap                      Buffer




                                                                Decap
                                            Decap
                                                                                Decap
2. But on a chip, it becomes                         Input
   necessary to have a grid                          Clock
                                                     Buffer                                      Die
   structure of power, with
                                                      Decap
   more than one 'vdd' and
   'vss'                                                                                         Core

                                                            Power Planning
                                      Vdd
                                      Vss
  9/11/2012                      www.vlsisystemdesign.com                                        9
What to do?


                                                     Decap




                                                                Decap
                                            Decap
1. While drawing any circuit                        Clock Mux
                                                                                Decap
   on paper, we have only one




                                                                                         Decap
                                                                        Decap
   'vdd' at the top and one                           Decap
                                                                                Output
                                                                                Clock
   'vss' at the bottom
                                                     Decap                      Buffer




                                                                Decap
                                            Decap
                                                                                Decap
2. But on a chip, it becomes                         Input
   necessary to have a grid                          Clock
                                                     Buffer                                       Die
   structure of power, with
                                                      Decap
   more than one 'vdd' and
   'vss'                                                                                          Core

                                                            Power Planning
                                      Vdd
                                      Vss
  9/11/2012                      www.vlsisystemdesign.com                                        10
What to do?

                                         X                 X        X              X        X

                                                          Decap
                                                      X         X           X           X        X




                                                                    Decap
                                              Decap
1. While drawing any circuit              X              X
                                                      Clock Mux      X             X        X
                                                                                        Decap
   on paper, we have only one




                                                                                                 Decap
                                                                                Decap
                                                      X         X           X           X        X

   'vdd' at the top and one                               Decap
                                                                                        Output
                                          X                X         X             X        X
                                                                                        Clock
   'vss' at the bottom
                                                      X   DecapX            X
                                                                                        Buffer
                                                                                         X       X




                                                                    Decap
                                              Decap
                                                                                        Decap
2. But on a chip, it becomes              X               Input
                                                            X        X             X       X


   necessary to have a grid                               Clock
                                                      X         X           X           X        X
                                                          Buffer                                          Die
   structure of power, with               X                X         X             X        X
                                                          Decap
   more than one 'vdd' and
                                                      X         X           X           X        X
   'vss'                                                                                                  Core

                                      Vdd                       Power Planning
                                       Vss
  9/11/2012                      www.vlsisystemdesign.com                                                11
What to do?


1. The space between core and die is
   reserved for pin placement. For eg.
   an 8085 has around 40 pins viz.
   reset, AD0, AD1, etc.

2. The clock pins are wider compared
   to other pins on the chip, as it
   drives most of the logic inside the
   chip.




  9/11/2012                         www.vlsisystemdesign.com   12
What to do?


1. To avoid the placement of cells by
   placement tools, in the area
   between core and die (which is
   reserved for pin placement), it
   needs to be blocked by logical cell
   placement blockages




 9/11/2012                          www.vlsisystemdesign.com   13
What to do?



1. The ‘Clk’ should be distributed to
   all flops in such a way that the
   skew is minimal

2. ‘Skew’ is the relative delay
   between clocks reaching at each
   flop on chip.




 9/11/2012                           www.vlsisystemdesign.com   14
What to do?




9/11/2012    www.vlsisystemdesign.com   15
What to do?




9/11/2012    www.vlsisystemdesign.com   16
What to do?




9/11/2012    www.vlsisystemdesign.com   17
What to do?




9/11/2012    www.vlsisystemdesign.com   18
What to do?

 1. Engineering Change Order (ECO) is the process of modifying the PNR netlist in
    order to meet timing (i.e. setup, hold, transition and max_capacitance)
    requirements

 2. For eg. if there's a setup violation in the design, it implies that a combinational
    path has large delay than required. In this case, we need to reduce the delay by
    upsizing cell, which reduces resistance, in turn, reduces RC delay of the path.

 3. Refer to diagrams in following slides to understand how to modify delay of cells.
    Inverter has been taken as an example.




9/11/2012                          www.vlsisystemdesign.com                               19
What to do?




9/11/2012   www.vlsisystemdesign.com   20
What to do?




9/11/2012   www.vlsisystemdesign.com   21
What to do?




9/11/2012    www.vlsisystemdesign.com   22
What to do?
                     Propagation Delay of inverter



                                        TPHL need not be equal to TPLH




9/11/2012    www.vlsisystemdesign.com                                23
What to do?




9/11/2012    www.vlsisystemdesign.com   24
What to do?




9/11/2012    www.vlsisystemdesign.com   25
What to do?




9/11/2012    www.vlsisystemdesign.com   26
What to do?




9/11/2012    www.vlsisystemdesign.com   27
9/11/2012   www.vlsisystemdesign.com   28
Why to do?



1. The abstract level behavioral
   description of the processor is
   written using an RTL program.

2. Large designs (e.g. microprocessor
   in our case) are usually
   synthesized into small modules.

3. These modules are the basic
   building blocks of a
   microprocessor e.g. memory unit,
   adder/subtractor (ALU) unit,
   multiplexer unit, etc.


   9/11/2012                          www.vlsisystemdesign.com   29
Why to do?



1. The arrangement shown in left
   occupies minimum area, whereas
   the one on the right occupies
   larger area on chip, and hence
   facilitates the user to add more
   blocks (i.e. additional functionality)
   in to the chip.

2. If, the specifications demands for
   minimum area, the left
   arrangement is selected, whereas,
   if the specification demands
   decent area as well as additional
   functionality, the second
   arrangement is selected.
   9/11/2012                           www.vlsisystemdesign.com   30
Why to do?




1. Noise margin is the amount of noise that a CMOS
   circuit could withstand without compromising the
   operation of circuit.

2. Noise margin does makes sure that any signal which
   is logic '1' with finite noise added to it, is still
   recognised as logic '1' and not logic '0'.




    9/11/2012                         www.vlsisystemdesign.com   31
Why to do?




            NML (NOISE MARGIN low) = VIL - VOL = Vss' - 0 = Vss‘

            NMH (NOISE MARGIN high) = VOH - VIH = Vdd - Vdd'
9/11/2012                         www.vlsisystemdesign.com         32
Why to do?




1. If the wires were
   ideal, i.e. 'zero'
   resistance, 'zero'
   inductance and
   infinitely short, thus
   no issue of power
   distribution




   9/11/2012                 www.vlsisystemdesign.com   33
Why to do?



1. Consider capacitance to be
   zero for the discussion. Rdd,
   Rss, Ldd and Lss are well
   defined values.

2. During switching operation,
   the circuit demands
   switching current i.e. peak
   current (IPEAK).

3. Now, due to the presence of
   Rdd and Ldd, there will be a
   voltage drop across them and
   the voltage at Node 'A' would
   be Vdd' instead of Vdd.
    9/11/2012                       www.vlsisystemdesign.com   34
Why to do?




            Switching Activity of CMOS Circuit.



9/11/2012           www.vlsisystemdesign.com      35
Why to do?




9/11/2012    www.vlsisystemdesign.com   36
Why to do?


1. When input of the inverter
   switches from logic '1' to logic
   '0', output of inverter should
   switch from logic '0' to logic '1'.

2. This essentially means that the
   output capacitance of inverter
   should charge till the supply
   voltage Vdd'.

3. But if Vdd' goes below the
   noise margin, due to Rdd and
   Ldd, the logic '1' at the output
   of inverter wont be detected
                                                   Vdd - Vdd' = Ipeak*Rdd + Ldd * (dI/dt)
   as logic '1' at the input of the
   circuit following the inverter.
     9/11/2012                            www.vlsisystemdesign.com                          37
Why to do?


Solution
1. Keep Rdd and Rss minimum
   by increasing width of wire.
2. Keep peak current Ipeak and
   change in current (dI/dt) as
   small as possible.

Icap = CL * dV / dt
Ipeak = CL * (Vdd – 0) / (tr - 0)
Ipeak = CL * (Vdd) / (tr )
dI/dt = CL * Vdd / tr2
3. Limit the rise time (tr). If a
     circuit could run at 500 ps,
     its unnecessary to run the
     circuit at 300 ps. The largest
     possible value of tr should be       Vdd - Vdd' = Ipeak*Rdd + Ldd * (dI/dt)
     selected.
   9/11/2012                           www.vlsisystemdesign.com                    38
Why to do?



1. Addition of Decoupling
   Capacitor in parallel with
   the circuit

2. Everytime the critical cell (in
   above daigram,an inverter)
   switches, it draws current
   from Cd , whereas, the RL
   network is used to replenish
   the charge into Cd




     9/11/2012                        www.vlsisystemdesign.com   39
Why to do?



1. The ‘Clk’ should be distributed to
   all flops in such a way that the
   skew is minimal

2. ‘Skew’ is the relative delay
   between clocks reaching at each
   flop on chip.




 9/11/2012                          www.vlsisystemdesign.com   40
Why to do?




9/11/2012    www.vlsisystemdesign.com   41
Why to do?




9/11/2012    www.vlsisystemdesign.com   42
Why to do?




9/11/2012    www.vlsisystemdesign.com   43
Why to do?




9/11/2012    www.vlsisystemdesign.com   44
Why to do?




9/11/2012    www.vlsisystemdesign.com   45
Why to do?




9/11/2012    www.vlsisystemdesign.com   46
Why to do?




9/11/2012    www.vlsisystemdesign.com   47
Why to do?




9/11/2012    www.vlsisystemdesign.com   48
Why to do?




In deep sub-micron technology (i.e. <130nm) and below, the lateral capacitance
between nets/wires on silicon, becomes much more dominant than the interlayer
capacitance.




9/11/2012                        www.vlsisystemdesign.com                        49
Why to do?




9/11/2012    www.vlsisystemdesign.com   50
Why to do?




9/11/2012    www.vlsisystemdesign.com   51
Why to do?



1. The disturbance at 'A' can potentially cause
   a disturbance at 'V', because of the mutual
   coupling capacitance.

2. If the disturbance at 'V' crosses noise
   threshold of the receiving gate 'R', then it
   may change the logic at the output of 'R'




   9/11/2012                           www.vlsisystemdesign.com   52
Why to do?




9/11/2012    www.vlsisystemdesign.com   53
Why to do?


1. If both 'A' and 'V' nodes have signal
   switching event at the same time
   interval, then, due to noise induced
   by signal transition at agressor 'A', a
   change in the timing instant of the
   signal transition occurs at 'V‘

2. Due to this, the propagation delay
   of the driver 'D' increases by 'dt'
   amount of time, thus increasing the
   overall propagation delay of the
   circuit, which might lead to
   potential setup violation.



   9/11/2012                           www.vlsisystemdesign.com   54
Why to do?


            Crosstalk Modeling and Analysis




9/11/2012            www.vlsisystemdesign.com   55
Why to do?


            Crosstalk Modeling and Analysis




9/11/2012            www.vlsisystemdesign.com   56
Why to do?


            Crosstalk Modeling and Analysis




9/11/2012            www.vlsisystemdesign.com   57
Why to do?


            Crosstalk Modeling and Analysis




9/11/2012            www.vlsisystemdesign.com   58
Why to do?


            Crosstalk Modeling and Analysis




9/11/2012            www.vlsisystemdesign.com   59
Why to do?


                         Crosstalk Modeling and Analysis
  Therefore, we have 3 solution.
  1) Controlling Peak Voltage (Vp)




9/11/2012                        www.vlsisystemdesign.com   60
Why to do?


                       Crosstalk Modeling and Analysis
   2) Tuning Pulse Width




9/11/2012                       www.vlsisystemdesign.com   61
Why to do?


                  Crosstalk Modeling and Analysis
   3) Shielding




9/11/2012                  www.vlsisystemdesign.com   62
Why to do?




      The common component between the flip-flops X, Y and Z is the 'clock'.


9/11/2012                         www.vlsisystemdesign.com                     63
Why to do?


1. Correctness of the system can be judged by
   tracing each Sender - Receiver pair.
2. Assume, identical clock goes to both and
   there's some delay between Sender and
   Receiver
3. This delay will not be fixed as the effective load
   capacitance seen by each gate in the design is
   different
4. Other factors that affect the delay of a gate
   such as input transition, threshold voltage,
   drive strength, etc.




  9/11/2012                           www.vlsisystemdesign.com   64
Why to do?




9/11/2012    www.vlsisystemdesign.com   65
Why to do?




            Setup time (S) = Data input ‘D’ must be valid before clock transition
            Hold time (H) = Data input ‘D’ must remain valid after clock edge


9/11/2012                             www.vlsisystemdesign.com                      66
Why to do?




            Setup time (S) = Data input ‘D’ must be valid before clock transition
            Hold time (H) = Data input ‘D’ must remain valid after clock edge


9/11/2012                             www.vlsisystemdesign.com                      67
Why to do?


                                                                                   Mux2

                                                                       Mux1
  D                                       Q                                         0
                                                                       1                       Q
                        F/F
                                                                                    1
                                                                       0      QM
                                                   D
CLK


                                                CLK
 Positive Edge Triggered ‘D’ F/F

                  Setup time (S) = Data input ‘D’ must be valid before clock transition
                  Hold time (H) = Data input ‘D’ must remain valid after clock edge


      9/11/2012                             www.vlsisystemdesign.com                      68
Why to do?


                                    Mux2
                     Mux1

                                      0
                        1                                 Q
                                      1
                        0     QM
 D



CLK                                                      Positive Edge Triggered ‘D’ F/F
                  Hence Setup Time => Time required (before clk edge) for ‘D’ to reach QM
                  i.e. internal delay of Mux1
                  Hence Hold Time => Time required (after clock edge) for QM to reach ‘Q’
                  i.e. internal delay of Mux2
      9/11/2012                             www.vlsisystemdesign.com                        69
Why to do?




m > H i.e. Minimum propagation delay of the combinational logic should be greater than
Hold Margin


   9/11/2012                        www.vlsisystemdesign.com                        70
Why to do?



1. If m < H , it results into timing violation,
   called as Hold violation.

2. This means, that the combinational logic
   delay is very less and hence data change is
   very fast.

3. To satisfy the 'hold' requirement, the
   combinational logic delay should be
   increased.




  9/11/2012                             www.vlsisystemdesign.com   71
Why to do?




M < Tclk - S i.e. Maximum propagation delay of the combinational logic should be less
than Clock period (Tclk) minus the Seup Margin

9/11/2012                         www.vlsisystemdesign.com                          72
Why to do?



1. If M > Tclk - S , it results into timing
   violation, called as Setup violation.

2. This means, that the combinational logic
   delay is very large and hence data change
   is very slow.

3. To satisfy the 'setup' requirement, the
   combinational logic delay should be
   decreased.




   9/11/2012                             www.vlsisystemdesign.com   73
Why to do?




 m > H + Δ1 i.e. Minimum propagation delay of the combinational logic should be
 greater than Hold Margin + the clock network delay for sender
9/11/2012                        www.vlsisystemdesign.com                         74
Why to do?




M < (Tclk - S) + Δ2 i.e. Maximum propagation delay of the combinational logic should be less
than Clock period (Tclk - Setup Margin ) + clock network delay for receiver i.e. Δ2
   9/11/2012                          www.vlsisystemdesign.com                           75
Why to do?




9/11/2012    www.vlsisystemdesign.com   76
Why to do?




9/11/2012    www.vlsisystemdesign.com   77
9/11/2012   www.vlsisystemdesign.com   78
How to do?
General Tools Used for Placement and Routing

1.   Synopsys ICC
2.   Magma Talus
3.   Cadence First Encounter
4.   Mentor Graphics

General Tools Used for RC parasitics Extraction

1. Synopsys StarXT

General Tools Used for STA and noise Analysis

1. Synopsys Primetime
2. Synopsys Primetime SI



 9/11/2012                         www.vlsisystemdesign.com   79
Thank You

               For queries mail us:
            vsd@vlsisystemdesign.com




9/11/2012         www.vlsisystemdesign.com   80

Weitere ähnliche Inhalte

Was ist angesagt?

Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
Deiptii Das
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
I World Tech
 
EMIR.pdf
EMIR.pdfEMIR.pdf
Topograhical synthesis
Topograhical synthesis   Topograhical synthesis
Topograhical synthesis
Deiptii Das
 

Was ist angesagt? (20)

Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Eco
EcoEco
Eco
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Physical design
Physical design Physical design
Physical design
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Back end[1] debdeep
Back end[1]  debdeepBack end[1]  debdeep
Back end[1] debdeep
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Topograhical synthesis
Topograhical synthesis   Topograhical synthesis
Topograhical synthesis
 

Andere mochten auch

Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
Team-VLSI-ITMU
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
sasikun
 
Xilinx interview questions and answers
Xilinx interview questions and answersXilinx interview questions and answers
Xilinx interview questions and answers
RyanGiggs99
 
Nvidia interview questions and answers
Nvidia interview questions and answersNvidia interview questions and answers
Nvidia interview questions and answers
selinasimpson15
 
53 Intel interview questions and answers pdf
53 Intel interview questions and answers pdf53 Intel interview questions and answers pdf
53 Intel interview questions and answers pdf
petlatas
 
Vlsi lab viva question with answers
Vlsi lab viva question with answersVlsi lab viva question with answers
Vlsi lab viva question with answers
Ayesha Ambreen
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
Anish Gupta
 
E-commerce hardware and software - Welcome to DePaul University
E-commerce hardware and software - Welcome to DePaul UniversityE-commerce hardware and software - Welcome to DePaul University
E-commerce hardware and software - Welcome to DePaul University
webhostingguy
 

Andere mochten auch (20)

Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical design
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
floor planning
floor planningfloor planning
floor planning
 
Vlsi design-styles
Vlsi design-stylesVlsi design-styles
Vlsi design-styles
 
Xilinx interview questions and answers
Xilinx interview questions and answersXilinx interview questions and answers
Xilinx interview questions and answers
 
Nvidia interview questions and answers
Nvidia interview questions and answersNvidia interview questions and answers
Nvidia interview questions and answers
 
Synthesis
SynthesisSynthesis
Synthesis
 
53 Intel interview questions and answers pdf
53 Intel interview questions and answers pdf53 Intel interview questions and answers pdf
53 Intel interview questions and answers pdf
 
verilog code
verilog codeverilog code
verilog code
 
Low power vlsi design
Low power vlsi designLow power vlsi design
Low power vlsi design
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanning
 
Vlsi lab viva question with answers
Vlsi lab viva question with answersVlsi lab viva question with answers
Vlsi lab viva question with answers
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
Vlsi design
Vlsi designVlsi design
Vlsi design
 
09 placement
09 placement09 placement
09 placement
 
E-commerce hardware and software - Welcome to DePaul University
E-commerce hardware and software - Welcome to DePaul UniversityE-commerce hardware and software - Welcome to DePaul University
E-commerce hardware and software - Welcome to DePaul University
 
VLSI
VLSI VLSI
VLSI
 

Mehr von VLSI SYSTEM Design

Mehr von VLSI SYSTEM Design (8)

SPEF format
SPEF formatSPEF format
SPEF format
 
Clk-to-q delay, library setup and hold time
Clk-to-q delay, library setup and hold timeClk-to-q delay, library setup and hold time
Clk-to-q delay, library setup and hold time
 
Need of Decoupling Capacitor
Need of Decoupling CapacitorNeed of Decoupling Capacitor
Need of Decoupling Capacitor
 
Switching activity
Switching activitySwitching activity
Switching activity
 
Static Noise margin
Static Noise margin Static Noise margin
Static Noise margin
 
Place decap
Place decapPlace decap
Place decap
 
Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)
Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)
Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)
 
Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)
Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)
Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)
 

Kürzlich hochgeladen

The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
heathfieldcps1
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
KarakKing
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
ZurliaSoop
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
QucHHunhnh
 

Kürzlich hochgeladen (20)

This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptx
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - English
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
Unit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxUnit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptx
 
Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.ppt
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptxSKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
 
Fostering Friendships - Enhancing Social Bonds in the Classroom
Fostering Friendships - Enhancing Social Bonds  in the ClassroomFostering Friendships - Enhancing Social Bonds  in the Classroom
Fostering Friendships - Enhancing Social Bonds in the Classroom
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 

VLSI Physical Design Flow(http://www.vlsisystemdesign.com)

  • 1. Kunal Promode Ghosh M.Tech (IIT Bombay) 9/11/2012 http://www.vlsisystemdesign.com/ 1
  • 2. Physical Design (PD) Flow • Physical Design Flowchart (What to do ?, Why to do ? And How to do ? in Physical Design) • Physical Design Learning Methodology 1. Physical Design Flow (What to do?) 2. Fundamentals Related to Flow (Why to do?) 3. PD Tools Introduction (How to do?) 9/11/2012 www.vlsisystemdesign.com 2
  • 3. Physical Design (PD) Flow 9/11/2012 www.vlsisystemdesign.com 3
  • 4. 9/11/2012 www.vlsisystemdesign.com 4
  • 5. What to do? 1. A 'core' is the section of the chip where the fundamental logic of Hcore Hdie the design is placed. 2. A ‘die’, is small semiconductor material specimen on which the fundamental circuit is fabricated. Die Wcore Core Wdie Define Width & Height of Core & Die 9/11/2012 www.vlsisystemdesign.com 5
  • 6. What to do? 1. The preplaced cells are the critical cells, related to clocks, viz. Clock Mux clock buffers, clock mux, etc. and Output also few other cells such as Clock RAM's, ROM's etc. Buffer Input 2. These cells are placed into core Clock before placement and routing Buffer Die stage, and hence are called 'preplaced cells'. Core Define Locations of Pre-Placed cells 9/11/2012 www.vlsisystemdesign.com 6
  • 7. What to do? Decap Decap Decap 1. A decoupling capacitor is used to decouple the critical cells from Clock Mux Decap main power supply, in order to Decap Decap Output protect the cells from the Decap Clock disturbance occurring in the Decap Buffer power distribution lines and Decap Decap Decap Input source Clock Buffer Die 2. The purpose of using decoupling Decap capacitors is to deliver required current to the gates during Core switching De-Coupling Capacitors surrounding Pre-placed Cells 9/11/2012 www.vlsisystemdesign.com 7
  • 8. What to do? Decap Decap Decap 1. While drawing any circuit Clock Mux Decap on paper, we have only one Decap Decap 'vdd' at the top and one Decap Output Clock 'vss' at the bottom Decap Buffer Decap Decap Decap 2. But on a chip, it becomes Input necessary to have a grid Clock Buffer Die structure of power, with Decap more than one 'vdd' and 'vss' Core Power Planning 9/11/2012 www.vlsisystemdesign.com 8
  • 9. What to do? Decap Decap Decap 1. While drawing any circuit Clock Mux Decap on paper, we have only one Decap Decap 'vdd' at the top and one Decap Output Clock 'vss' at the bottom Decap Buffer Decap Decap Decap 2. But on a chip, it becomes Input necessary to have a grid Clock Buffer Die structure of power, with Decap more than one 'vdd' and 'vss' Core Power Planning Vdd Vss 9/11/2012 www.vlsisystemdesign.com 9
  • 10. What to do? Decap Decap Decap 1. While drawing any circuit Clock Mux Decap on paper, we have only one Decap Decap 'vdd' at the top and one Decap Output Clock 'vss' at the bottom Decap Buffer Decap Decap Decap 2. But on a chip, it becomes Input necessary to have a grid Clock Buffer Die structure of power, with Decap more than one 'vdd' and 'vss' Core Power Planning Vdd Vss 9/11/2012 www.vlsisystemdesign.com 10
  • 11. What to do? X X X X X Decap X X X X X Decap Decap 1. While drawing any circuit X X Clock Mux X X X Decap on paper, we have only one Decap Decap X X X X X 'vdd' at the top and one Decap Output X X X X X Clock 'vss' at the bottom X DecapX X Buffer X X Decap Decap Decap 2. But on a chip, it becomes X Input X X X X necessary to have a grid Clock X X X X X Buffer Die structure of power, with X X X X X Decap more than one 'vdd' and X X X X X 'vss' Core Vdd Power Planning Vss 9/11/2012 www.vlsisystemdesign.com 11
  • 12. What to do? 1. The space between core and die is reserved for pin placement. For eg. an 8085 has around 40 pins viz. reset, AD0, AD1, etc. 2. The clock pins are wider compared to other pins on the chip, as it drives most of the logic inside the chip. 9/11/2012 www.vlsisystemdesign.com 12
  • 13. What to do? 1. To avoid the placement of cells by placement tools, in the area between core and die (which is reserved for pin placement), it needs to be blocked by logical cell placement blockages 9/11/2012 www.vlsisystemdesign.com 13
  • 14. What to do? 1. The ‘Clk’ should be distributed to all flops in such a way that the skew is minimal 2. ‘Skew’ is the relative delay between clocks reaching at each flop on chip. 9/11/2012 www.vlsisystemdesign.com 14
  • 15. What to do? 9/11/2012 www.vlsisystemdesign.com 15
  • 16. What to do? 9/11/2012 www.vlsisystemdesign.com 16
  • 17. What to do? 9/11/2012 www.vlsisystemdesign.com 17
  • 18. What to do? 9/11/2012 www.vlsisystemdesign.com 18
  • 19. What to do? 1. Engineering Change Order (ECO) is the process of modifying the PNR netlist in order to meet timing (i.e. setup, hold, transition and max_capacitance) requirements 2. For eg. if there's a setup violation in the design, it implies that a combinational path has large delay than required. In this case, we need to reduce the delay by upsizing cell, which reduces resistance, in turn, reduces RC delay of the path. 3. Refer to diagrams in following slides to understand how to modify delay of cells. Inverter has been taken as an example. 9/11/2012 www.vlsisystemdesign.com 19
  • 20. What to do? 9/11/2012 www.vlsisystemdesign.com 20
  • 21. What to do? 9/11/2012 www.vlsisystemdesign.com 21
  • 22. What to do? 9/11/2012 www.vlsisystemdesign.com 22
  • 23. What to do? Propagation Delay of inverter TPHL need not be equal to TPLH 9/11/2012 www.vlsisystemdesign.com 23
  • 24. What to do? 9/11/2012 www.vlsisystemdesign.com 24
  • 25. What to do? 9/11/2012 www.vlsisystemdesign.com 25
  • 26. What to do? 9/11/2012 www.vlsisystemdesign.com 26
  • 27. What to do? 9/11/2012 www.vlsisystemdesign.com 27
  • 28. 9/11/2012 www.vlsisystemdesign.com 28
  • 29. Why to do? 1. The abstract level behavioral description of the processor is written using an RTL program. 2. Large designs (e.g. microprocessor in our case) are usually synthesized into small modules. 3. These modules are the basic building blocks of a microprocessor e.g. memory unit, adder/subtractor (ALU) unit, multiplexer unit, etc. 9/11/2012 www.vlsisystemdesign.com 29
  • 30. Why to do? 1. The arrangement shown in left occupies minimum area, whereas the one on the right occupies larger area on chip, and hence facilitates the user to add more blocks (i.e. additional functionality) in to the chip. 2. If, the specifications demands for minimum area, the left arrangement is selected, whereas, if the specification demands decent area as well as additional functionality, the second arrangement is selected. 9/11/2012 www.vlsisystemdesign.com 30
  • 31. Why to do? 1. Noise margin is the amount of noise that a CMOS circuit could withstand without compromising the operation of circuit. 2. Noise margin does makes sure that any signal which is logic '1' with finite noise added to it, is still recognised as logic '1' and not logic '0'. 9/11/2012 www.vlsisystemdesign.com 31
  • 32. Why to do? NML (NOISE MARGIN low) = VIL - VOL = Vss' - 0 = Vss‘ NMH (NOISE MARGIN high) = VOH - VIH = Vdd - Vdd' 9/11/2012 www.vlsisystemdesign.com 32
  • 33. Why to do? 1. If the wires were ideal, i.e. 'zero' resistance, 'zero' inductance and infinitely short, thus no issue of power distribution 9/11/2012 www.vlsisystemdesign.com 33
  • 34. Why to do? 1. Consider capacitance to be zero for the discussion. Rdd, Rss, Ldd and Lss are well defined values. 2. During switching operation, the circuit demands switching current i.e. peak current (IPEAK). 3. Now, due to the presence of Rdd and Ldd, there will be a voltage drop across them and the voltage at Node 'A' would be Vdd' instead of Vdd. 9/11/2012 www.vlsisystemdesign.com 34
  • 35. Why to do? Switching Activity of CMOS Circuit. 9/11/2012 www.vlsisystemdesign.com 35
  • 36. Why to do? 9/11/2012 www.vlsisystemdesign.com 36
  • 37. Why to do? 1. When input of the inverter switches from logic '1' to logic '0', output of inverter should switch from logic '0' to logic '1'. 2. This essentially means that the output capacitance of inverter should charge till the supply voltage Vdd'. 3. But if Vdd' goes below the noise margin, due to Rdd and Ldd, the logic '1' at the output of inverter wont be detected Vdd - Vdd' = Ipeak*Rdd + Ldd * (dI/dt) as logic '1' at the input of the circuit following the inverter. 9/11/2012 www.vlsisystemdesign.com 37
  • 38. Why to do? Solution 1. Keep Rdd and Rss minimum by increasing width of wire. 2. Keep peak current Ipeak and change in current (dI/dt) as small as possible. Icap = CL * dV / dt Ipeak = CL * (Vdd – 0) / (tr - 0) Ipeak = CL * (Vdd) / (tr ) dI/dt = CL * Vdd / tr2 3. Limit the rise time (tr). If a circuit could run at 500 ps, its unnecessary to run the circuit at 300 ps. The largest possible value of tr should be Vdd - Vdd' = Ipeak*Rdd + Ldd * (dI/dt) selected. 9/11/2012 www.vlsisystemdesign.com 38
  • 39. Why to do? 1. Addition of Decoupling Capacitor in parallel with the circuit 2. Everytime the critical cell (in above daigram,an inverter) switches, it draws current from Cd , whereas, the RL network is used to replenish the charge into Cd 9/11/2012 www.vlsisystemdesign.com 39
  • 40. Why to do? 1. The ‘Clk’ should be distributed to all flops in such a way that the skew is minimal 2. ‘Skew’ is the relative delay between clocks reaching at each flop on chip. 9/11/2012 www.vlsisystemdesign.com 40
  • 41. Why to do? 9/11/2012 www.vlsisystemdesign.com 41
  • 42. Why to do? 9/11/2012 www.vlsisystemdesign.com 42
  • 43. Why to do? 9/11/2012 www.vlsisystemdesign.com 43
  • 44. Why to do? 9/11/2012 www.vlsisystemdesign.com 44
  • 45. Why to do? 9/11/2012 www.vlsisystemdesign.com 45
  • 46. Why to do? 9/11/2012 www.vlsisystemdesign.com 46
  • 47. Why to do? 9/11/2012 www.vlsisystemdesign.com 47
  • 48. Why to do? 9/11/2012 www.vlsisystemdesign.com 48
  • 49. Why to do? In deep sub-micron technology (i.e. <130nm) and below, the lateral capacitance between nets/wires on silicon, becomes much more dominant than the interlayer capacitance. 9/11/2012 www.vlsisystemdesign.com 49
  • 50. Why to do? 9/11/2012 www.vlsisystemdesign.com 50
  • 51. Why to do? 9/11/2012 www.vlsisystemdesign.com 51
  • 52. Why to do? 1. The disturbance at 'A' can potentially cause a disturbance at 'V', because of the mutual coupling capacitance. 2. If the disturbance at 'V' crosses noise threshold of the receiving gate 'R', then it may change the logic at the output of 'R' 9/11/2012 www.vlsisystemdesign.com 52
  • 53. Why to do? 9/11/2012 www.vlsisystemdesign.com 53
  • 54. Why to do? 1. If both 'A' and 'V' nodes have signal switching event at the same time interval, then, due to noise induced by signal transition at agressor 'A', a change in the timing instant of the signal transition occurs at 'V‘ 2. Due to this, the propagation delay of the driver 'D' increases by 'dt' amount of time, thus increasing the overall propagation delay of the circuit, which might lead to potential setup violation. 9/11/2012 www.vlsisystemdesign.com 54
  • 55. Why to do? Crosstalk Modeling and Analysis 9/11/2012 www.vlsisystemdesign.com 55
  • 56. Why to do? Crosstalk Modeling and Analysis 9/11/2012 www.vlsisystemdesign.com 56
  • 57. Why to do? Crosstalk Modeling and Analysis 9/11/2012 www.vlsisystemdesign.com 57
  • 58. Why to do? Crosstalk Modeling and Analysis 9/11/2012 www.vlsisystemdesign.com 58
  • 59. Why to do? Crosstalk Modeling and Analysis 9/11/2012 www.vlsisystemdesign.com 59
  • 60. Why to do? Crosstalk Modeling and Analysis Therefore, we have 3 solution. 1) Controlling Peak Voltage (Vp) 9/11/2012 www.vlsisystemdesign.com 60
  • 61. Why to do? Crosstalk Modeling and Analysis 2) Tuning Pulse Width 9/11/2012 www.vlsisystemdesign.com 61
  • 62. Why to do? Crosstalk Modeling and Analysis 3) Shielding 9/11/2012 www.vlsisystemdesign.com 62
  • 63. Why to do? The common component between the flip-flops X, Y and Z is the 'clock'. 9/11/2012 www.vlsisystemdesign.com 63
  • 64. Why to do? 1. Correctness of the system can be judged by tracing each Sender - Receiver pair. 2. Assume, identical clock goes to both and there's some delay between Sender and Receiver 3. This delay will not be fixed as the effective load capacitance seen by each gate in the design is different 4. Other factors that affect the delay of a gate such as input transition, threshold voltage, drive strength, etc. 9/11/2012 www.vlsisystemdesign.com 64
  • 65. Why to do? 9/11/2012 www.vlsisystemdesign.com 65
  • 66. Why to do? Setup time (S) = Data input ‘D’ must be valid before clock transition Hold time (H) = Data input ‘D’ must remain valid after clock edge 9/11/2012 www.vlsisystemdesign.com 66
  • 67. Why to do? Setup time (S) = Data input ‘D’ must be valid before clock transition Hold time (H) = Data input ‘D’ must remain valid after clock edge 9/11/2012 www.vlsisystemdesign.com 67
  • 68. Why to do? Mux2 Mux1 D Q 0 1 Q F/F 1 0 QM D CLK CLK Positive Edge Triggered ‘D’ F/F Setup time (S) = Data input ‘D’ must be valid before clock transition Hold time (H) = Data input ‘D’ must remain valid after clock edge 9/11/2012 www.vlsisystemdesign.com 68
  • 69. Why to do? Mux2 Mux1 0 1 Q 1 0 QM D CLK Positive Edge Triggered ‘D’ F/F Hence Setup Time => Time required (before clk edge) for ‘D’ to reach QM i.e. internal delay of Mux1 Hence Hold Time => Time required (after clock edge) for QM to reach ‘Q’ i.e. internal delay of Mux2 9/11/2012 www.vlsisystemdesign.com 69
  • 70. Why to do? m > H i.e. Minimum propagation delay of the combinational logic should be greater than Hold Margin 9/11/2012 www.vlsisystemdesign.com 70
  • 71. Why to do? 1. If m < H , it results into timing violation, called as Hold violation. 2. This means, that the combinational logic delay is very less and hence data change is very fast. 3. To satisfy the 'hold' requirement, the combinational logic delay should be increased. 9/11/2012 www.vlsisystemdesign.com 71
  • 72. Why to do? M < Tclk - S i.e. Maximum propagation delay of the combinational logic should be less than Clock period (Tclk) minus the Seup Margin 9/11/2012 www.vlsisystemdesign.com 72
  • 73. Why to do? 1. If M > Tclk - S , it results into timing violation, called as Setup violation. 2. This means, that the combinational logic delay is very large and hence data change is very slow. 3. To satisfy the 'setup' requirement, the combinational logic delay should be decreased. 9/11/2012 www.vlsisystemdesign.com 73
  • 74. Why to do? m > H + Δ1 i.e. Minimum propagation delay of the combinational logic should be greater than Hold Margin + the clock network delay for sender 9/11/2012 www.vlsisystemdesign.com 74
  • 75. Why to do? M < (Tclk - S) + Δ2 i.e. Maximum propagation delay of the combinational logic should be less than Clock period (Tclk - Setup Margin ) + clock network delay for receiver i.e. Δ2 9/11/2012 www.vlsisystemdesign.com 75
  • 76. Why to do? 9/11/2012 www.vlsisystemdesign.com 76
  • 77. Why to do? 9/11/2012 www.vlsisystemdesign.com 77
  • 78. 9/11/2012 www.vlsisystemdesign.com 78
  • 79. How to do? General Tools Used for Placement and Routing 1. Synopsys ICC 2. Magma Talus 3. Cadence First Encounter 4. Mentor Graphics General Tools Used for RC parasitics Extraction 1. Synopsys StarXT General Tools Used for STA and noise Analysis 1. Synopsys Primetime 2. Synopsys Primetime SI 9/11/2012 www.vlsisystemdesign.com 79
  • 80. Thank You For queries mail us: vsd@vlsisystemdesign.com 9/11/2012 www.vlsisystemdesign.com 80

Hinweis der Redaktion

  1. Tphl need not be equal to tplh …… highlight this factTo make this equal we may tweak the Pmos width in std cell design … Lp ~ 2 Ln
  2. Show the ckt before and after ECO and highlight the change !!