SlideShare ist ein Scribd-Unternehmen logo
1 von 16
LOW POWER VLSI
    DESIGN

  Vinchip Systems
  (a Design and Verification Company)

           Chennai.
Introduction
   Due to integration of components increased the power
    comes in lime light
   It is much important that handheld devices must possess
    low power devices
   For better performance
   For long run time (Battery time)
Definition

 Power   Dissipation:
    The rate of energy which is taken from the source and
     converted into heat
Types of Power Dissipation
   Static power dissipation
       Due to leakage current
   Dynamic Power dissipation
       Due to switching activities of transistor
Low Power Strategies
Low Power Design Space

 Three   parts that we can perform low power
 techniques to reduce power dissipation
    Voltage
    Physical Capacitance
    Switching activity
Supply voltage reduction
   Voltage reduction offers an effective means of power reduction
   A factor of two reduction in supply voltage yields a factor of four
    decreases in power consumption
   But the performance is also getting reduced
   To avoid the above stated problem,
       Threshold voltage should be scaled down
Physical Capacitance
   Dynamic power consumption depends linearly on the physical
    capacitance being switched
   So minimizing capacitance offers another technique to for
    minimizing power consumption
   The capacitor can be kept as small by..
     Minimum logic

     Smaller devices

     Fewer and shorter wires
Switching Activity
   There are two components to switching activity :
       which determines the average periodicity of data arrivals
       E (sw) which determines how many transitions each arrival will generate
   Switching activity is reduced by
       Selecting proper algorithms architecture optimization,
       Proper choice of logic topology
       Logic level optimization which results in less power
Low power techniques
Low power Techniques
   Clock Gating
       To reducing dynamic power dissipation
       works by taking the enable conditions attached to registers, and
        uses them to gate the clocks
   Power Gating
       High Vt sleep transistors which cut off VDD from a circuit block when
        the block is not switching
       Also known as MTCMOS - Multi-Threshold CMOS
Calculation of Switching Activity

 Input   Pattern Dependence
 Logic   Function
 Logic   Style
 Circuit   Structure
Power Minimization Techniques
   Reducing chip and package capacitance
       Process development such as SOI with partially or fully depleted wells
       Advanced interconnect substrates such as Multi-Chip Modules (MCM).
   Scaling the supply voltage
       Very effective
       But often requires process technologies
   Employing better design techniques
       The investment to reduce power by design is relatively small
   Using power management strategies
       Various static and dynamic power management techniques
CAD Methodologies and Techniques

   Low power VLSI design can be achieved at various levels of the design process
   System Design
       inactive hardware modules may be automatically turned off to save power
   Behavioral Synthesis
       The behavioral synthesis process consists of three steps:
           Allocation
           Assignment and scheduling
       These steps determine how many instances of each resource are needed
   Logic Synthesis
   Physical Design
Conclusion

 Low   power VLSI is needed
    Increasing of handheld devices
    Increasing of complex device structure
    Long battery life
    Long device life
Low power vlsi design

Weitere ähnliche Inhalte

Was ist angesagt?

Was ist angesagt? (20)

Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
 
12 low power techniques
12 low power techniques12 low power techniques
12 low power techniques
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
 
Power
PowerPower
Power
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
Advanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip DesignAdvanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip Design
 
Power Gating
Power GatingPower Gating
Power Gating
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFET
 
Low power VLSI Degisn
Low power VLSI DegisnLow power VLSI Degisn
Low power VLSI Degisn
 
Clock gating
Clock gatingClock gating
Clock gating
 
MOSFET and Short channel effects
MOSFET and Short channel effectsMOSFET and Short channel effects
MOSFET and Short channel effects
 
MOS transistor 13
MOS transistor 13MOS transistor 13
MOS transistor 13
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Presentation on Scaling
Presentation on ScalingPresentation on Scaling
Presentation on Scaling
 
Silicon on Insulator (SOI) Technology
Silicon on Insulator (SOI) TechnologySilicon on Insulator (SOI) Technology
Silicon on Insulator (SOI) Technology
 
Threshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length ModulationThreshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length Modulation
 
Short channel effects
Short channel effectsShort channel effects
Short channel effects
 

Ähnlich wie Low power vlsi design

Mobile computing edited
Mobile computing editedMobile computing edited
Mobile computing edited
m_hughes
 

Ähnlich wie Low power vlsi design (20)

Low power vlsi design
Low power vlsi designLow power vlsi design
Low power vlsi design
 
LPflow_updated.ppt
LPflow_updated.pptLPflow_updated.ppt
LPflow_updated.ppt
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.ppt
 
LPVLSI.ppt
LPVLSI.pptLPVLSI.ppt
LPVLSI.ppt
 
Low power methods.ppt
Low power methods.pptLow power methods.ppt
Low power methods.ppt
 
Anandi.ppt
Anandi.pptAnandi.ppt
Anandi.ppt
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
 
Analysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI DesignAnalysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI Design
 
Mobile computing edited
Mobile computing editedMobile computing edited
Mobile computing edited
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
 
Low Power Adiabatic Logic Design
Low Power Adiabatic Logic DesignLow Power Adiabatic Logic Design
Low Power Adiabatic Logic Design
 
Automatic power factor correction
Automatic power factor correction Automatic power factor correction
Automatic power factor correction
 

Mehr von Vinchipsytm Vlsitraining

Mehr von Vinchipsytm Vlsitraining (12)

VLSI_ASIC_Training_Summer_Offer
VLSI_ASIC_Training_Summer_OfferVLSI_ASIC_Training_Summer_Offer
VLSI_ASIC_Training_Summer_Offer
 
Verilog Tasks and functions
Verilog Tasks and functionsVerilog Tasks and functions
Verilog Tasks and functions
 
Hard ip based SoC design
Hard ip based SoC designHard ip based SoC design
Hard ip based SoC design
 
Optimizing for low power in embedded mcu designs
Optimizing for low power in embedded mcu designsOptimizing for low power in embedded mcu designs
Optimizing for low power in embedded mcu designs
 
Coding style for good synthesis
Coding style for good synthesisCoding style for good synthesis
Coding style for good synthesis
 
system verilog
system verilogsystem verilog
system verilog
 
Chip packaging technology
Chip packaging technologyChip packaging technology
Chip packaging technology
 
USB 2.0
USB 2.0USB 2.0
USB 2.0
 
SOC design
SOC design SOC design
SOC design
 
Axi
AxiAxi
Axi
 
Usb 2
Usb 2Usb 2
Usb 2
 
Verification strategies
Verification strategiesVerification strategies
Verification strategies
 

Low power vlsi design

  • 1. LOW POWER VLSI DESIGN Vinchip Systems (a Design and Verification Company) Chennai.
  • 2. Introduction  Due to integration of components increased the power comes in lime light  It is much important that handheld devices must possess low power devices  For better performance  For long run time (Battery time)
  • 3. Definition  Power Dissipation:  The rate of energy which is taken from the source and converted into heat
  • 4. Types of Power Dissipation  Static power dissipation  Due to leakage current  Dynamic Power dissipation  Due to switching activities of transistor
  • 6. Low Power Design Space  Three parts that we can perform low power techniques to reduce power dissipation  Voltage  Physical Capacitance  Switching activity
  • 7. Supply voltage reduction  Voltage reduction offers an effective means of power reduction  A factor of two reduction in supply voltage yields a factor of four decreases in power consumption  But the performance is also getting reduced  To avoid the above stated problem,  Threshold voltage should be scaled down
  • 8. Physical Capacitance  Dynamic power consumption depends linearly on the physical capacitance being switched  So minimizing capacitance offers another technique to for minimizing power consumption  The capacitor can be kept as small by..  Minimum logic  Smaller devices  Fewer and shorter wires
  • 9. Switching Activity  There are two components to switching activity :  which determines the average periodicity of data arrivals  E (sw) which determines how many transitions each arrival will generate  Switching activity is reduced by  Selecting proper algorithms architecture optimization,  Proper choice of logic topology  Logic level optimization which results in less power
  • 11. Low power Techniques  Clock Gating  To reducing dynamic power dissipation  works by taking the enable conditions attached to registers, and uses them to gate the clocks  Power Gating  High Vt sleep transistors which cut off VDD from a circuit block when the block is not switching  Also known as MTCMOS - Multi-Threshold CMOS
  • 12. Calculation of Switching Activity  Input Pattern Dependence  Logic Function  Logic Style  Circuit Structure
  • 13. Power Minimization Techniques  Reducing chip and package capacitance  Process development such as SOI with partially or fully depleted wells  Advanced interconnect substrates such as Multi-Chip Modules (MCM).  Scaling the supply voltage  Very effective  But often requires process technologies  Employing better design techniques  The investment to reduce power by design is relatively small  Using power management strategies  Various static and dynamic power management techniques
  • 14. CAD Methodologies and Techniques  Low power VLSI design can be achieved at various levels of the design process  System Design  inactive hardware modules may be automatically turned off to save power  Behavioral Synthesis  The behavioral synthesis process consists of three steps:  Allocation  Assignment and scheduling  These steps determine how many instances of each resource are needed  Logic Synthesis  Physical Design
  • 15. Conclusion  Low power VLSI is needed  Increasing of handheld devices  Increasing of complex device structure  Long battery life  Long device life