SlideShare ist ein Scribd-Unternehmen logo
1 von 36
Dr. Rajveer S Shekhawat
GM, New Products Development,
Secure Meters Ltd
Performance and Power Challenges to
mobile computing
HD Video playback
Steaming audio & video
3D Gaming
3D interfaces
Web browsing
Multiple application
Location-based services (maps and satellite images)
So single processors are being replaced by multicore
processors to meet the above requirements.04/07/15 CMCTAR2012 2
Predictions
04/07/15 CMCTAR2012 3
04/07/15 CMCTAR2012 4
Major Mobile Devices using
MCPs
Smart phones
PDAs
Tablets
Laptops
Game Stations
Vehicle navigation systems
04/07/15 CMCTAR2012 5
Performance Challenges
Multi core architectures with high integration of
peripherals are needed to deliver ever increasing
performance. The likely peripherals are:
Graphics/image/video
Voice/speech
Intelligent keys/trackballs
3D motion
GPS
Communication (Bluetooth, WiFi, IR, GSM/UMTS)
04/07/15 CMCTAR2012 6
Challenge areas
Hardware
Architecture
Computation
Speed
Power
Complexity
Graphics
speech
Software
System programming
Application programming
User interface
04/07/15 CMCTAR2012 7
Cost Optimization
04/07/15 CMCTAR2012 8
Power Optimization
04/07/15 CMCTAR2012 9
04/07/15 CMCTAR2012 10
04/07/15 CMCTAR2012 11
04/07/15 CMCTAR2012 12
04/07/15 CMCTAR2012 13
04/07/15 CMCTAR2012 14
04/07/15 CMCTAR2012 15
04/07/15 CMCTAR2012 16
Parallel Programming
Multi-core architectures can help in reducing power
consumption of single CPU to increase computational
power. However to best make use of them, we need to
write efficient parallel programs for both systems and
application programming. This area is still evolving
and needs better programming tools to support faster,
accurate and efficient programs.
Multi-core processors can have two configurations:
 Symmetric multiprocessing (SMP)
 Assymetric multiprocessing (ASMP)
04/07/15 CMCTAR2012 17
Symmetri Multi Processing
SMP architecture consists of two or more identical
CPU cores.
All cores share a common system memory and are
controlled by a single Operating system.
Each CPU is capable of operating independently on
different workloads and whenever possible, is also
capable of sharing workloads with the other CPU.
04/07/15 CMCTAR2012 18
Example
NVIDIA Tegra 2 and Tegra 3
04/07/15 CMCTAR2012 19
04/07/15 CMCTAR2012 20
04/07/15 CMCTAR2012 21
Architectural Features of Tegra 2
04/07/15 CMCTAR2012 22
 Dynamic length 8-stage pipeline supporting speculative out-of-order
execution. This allows the processor to dynamically reorder instructions to
improve performance by avoiding stalls due to instruction latencies and
resource conflicts. Older generation Cortex-A8 processors use an in-order
pipeline and are unable to avoid the penalties that arise from branching and
cache misses support for speculative branch predictions to avoid branching
penalties.
 A Dual-core Symmetrical Multiprocessing (SMP) configuration operating
either independently, or in lockstep to deliver peak performance when
needed, and consuming almost zero power when idle.
 32KB Instruction cache and 32KB Data cache per core with both cores sharing
a common 1MB L2 Cache. The 1MB L2 cache is large enough to load an entire
browser memory footprint into cache to provide a faster Web browsing
experience.
 CPU cores that are optimized to operate at a frequency of one Gigahertz with
the ability to scale up to even higher frequencies. The two cores are assisted
by a common snoop control unit that enforces coherency between the cores
and manages the common 1MB L2 cache shared by the two cores.
04/07/15 CMCTAR2012 23
Intelligent Power Management
Long battery life along with high computing power is
only feasible if we can use multi-core architectures
with low power consumption. A popular technique is
Dynamic Voltage and Frequency Scaling (DVFS).
Here the voltages (both supply and threshold) can be
reduced to for lower power operation. Further
frequency of operation can also be scaled down.
However, to keep the execution timing of tasks intact,
multitasking/mutit-threading can be used. There
appropriate scheduling algo’s for multi-cores.
04/07/15 CMCTAR2012 24
04/07/15 CMCTAR2012 25
Tegra 3 from Nvidia (vSMP)
04/07/15 CMCTAR2012 26
Renesas Dual Core (EMMA)
04/07/15 CMCTAR2012 27
EMMA Features
It is an application processor for smart mobiles.
It has two ARM Cortex-9 cores with two Neon
extensions
It has an integrated audio/video engine,
A 3D graphics block
A number of communication interfaces
It uses hardware accelerator for HD quality decoding
It consumes minimal power
04/07/15 CMCTAR2012 28
04/07/15 CMCTAR2012 29
04/07/15 CMCTAR2012 30
04/07/15 CMCTAR2012 31
Expectations (PwC report)
04/07/15 CMCTAR2012 32
Parallel Programming
04/07/15 CMCTAR2012 33
04/07/15 CMCTAR2012 34
Common Prog Environs
04/07/15 CMCTAR2012 35
Thanks
04/07/15 CMCTAR2012 36

Weitere ähnliche Inhalte

Was ist angesagt?

MTE104-L1: Introduction to Mechatronics
MTE104-L1: Introduction to MechatronicsMTE104-L1: Introduction to Mechatronics
MTE104-L1: Introduction to MechatronicsAbdalla Ahmed
 
System on chip architectures
System on chip architecturesSystem on chip architectures
System on chip architecturesA B Shinde
 
Power Management in Embedded Systems
Power Management in Embedded Systems Power Management in Embedded Systems
Power Management in Embedded Systems mentoresd
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
Nvidia (History, GPU Architecture and New Pascal Architecture)
Nvidia (History, GPU Architecture and New Pascal Architecture)Nvidia (History, GPU Architecture and New Pascal Architecture)
Nvidia (History, GPU Architecture and New Pascal Architecture)Saksham Tanwar
 
How will you manage your PC fleet in the new computing environment?
How will you manage your PC fleet in the new computing environment?How will you manage your PC fleet in the new computing environment?
How will you manage your PC fleet in the new computing environment?RapidSSLOnline.com
 
GRAPHICS PROCESSING UNIT (GPU)
GRAPHICS PROCESSING UNIT (GPU)GRAPHICS PROCESSING UNIT (GPU)
GRAPHICS PROCESSING UNIT (GPU)self employed
 
Intel Optane Data Center Persistent Memory
Intel Optane Data Center Persistent MemoryIntel Optane Data Center Persistent Memory
Intel Optane Data Center Persistent Memoryinside-BigData.com
 
SoC based smartphone processors
SoC based smartphone processorsSoC based smartphone processors
SoC based smartphone processorsAnkush Kumar
 
Ximea - the pc camera, 90 gflps smart camera
Ximea  - the pc camera, 90 gflps smart cameraXimea  - the pc camera, 90 gflps smart camera
Ximea - the pc camera, 90 gflps smart cameraXIMEA
 
Modern processor art
Modern processor artModern processor art
Modern processor artwaqasjadoon11
 
39245196 intro-es-iii
39245196 intro-es-iii39245196 intro-es-iii
39245196 intro-es-iiiEmbeddedbvp
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
The Theory and Implementation of DVFS on Linux
The Theory and Implementation of DVFS on LinuxThe Theory and Implementation of DVFS on Linux
The Theory and Implementation of DVFS on LinuxPicker Weng
 
GPU Architecture NVIDIA (GTX GeForce 480)
GPU Architecture NVIDIA (GTX GeForce 480)GPU Architecture NVIDIA (GTX GeForce 480)
GPU Architecture NVIDIA (GTX GeForce 480)Fatima Qayyum
 
basic of embedded system
basic of embedded systembasic of embedded system
basic of embedded systemDinesh35833
 

Was ist angesagt? (20)

MTE104-L1: Introduction to Mechatronics
MTE104-L1: Introduction to MechatronicsMTE104-L1: Introduction to Mechatronics
MTE104-L1: Introduction to Mechatronics
 
System on chip architectures
System on chip architecturesSystem on chip architectures
System on chip architectures
 
Power Management in Embedded Systems
Power Management in Embedded Systems Power Management in Embedded Systems
Power Management in Embedded Systems
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
PPU_PNSS-1_ICS-2014
PPU_PNSS-1_ICS-2014PPU_PNSS-1_ICS-2014
PPU_PNSS-1_ICS-2014
 
Nvidia (History, GPU Architecture and New Pascal Architecture)
Nvidia (History, GPU Architecture and New Pascal Architecture)Nvidia (History, GPU Architecture and New Pascal Architecture)
Nvidia (History, GPU Architecture and New Pascal Architecture)
 
How will you manage your PC fleet in the new computing environment?
How will you manage your PC fleet in the new computing environment?How will you manage your PC fleet in the new computing environment?
How will you manage your PC fleet in the new computing environment?
 
GRAPHICS PROCESSING UNIT (GPU)
GRAPHICS PROCESSING UNIT (GPU)GRAPHICS PROCESSING UNIT (GPU)
GRAPHICS PROCESSING UNIT (GPU)
 
Intel Optane Data Center Persistent Memory
Intel Optane Data Center Persistent MemoryIntel Optane Data Center Persistent Memory
Intel Optane Data Center Persistent Memory
 
SoC based smartphone processors
SoC based smartphone processorsSoC based smartphone processors
SoC based smartphone processors
 
Danish presentation
Danish presentationDanish presentation
Danish presentation
 
Ximea - the pc camera, 90 gflps smart camera
Ximea  - the pc camera, 90 gflps smart cameraXimea  - the pc camera, 90 gflps smart camera
Ximea - the pc camera, 90 gflps smart camera
 
Modern processor art
Modern processor artModern processor art
Modern processor art
 
chameleon chip
chameleon chipchameleon chip
chameleon chip
 
Ch1
Ch1Ch1
Ch1
 
39245196 intro-es-iii
39245196 intro-es-iii39245196 intro-es-iii
39245196 intro-es-iii
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
The Theory and Implementation of DVFS on Linux
The Theory and Implementation of DVFS on LinuxThe Theory and Implementation of DVFS on Linux
The Theory and Implementation of DVFS on Linux
 
GPU Architecture NVIDIA (GTX GeForce 480)
GPU Architecture NVIDIA (GTX GeForce 480)GPU Architecture NVIDIA (GTX GeForce 480)
GPU Architecture NVIDIA (GTX GeForce 480)
 
basic of embedded system
basic of embedded systembasic of embedded system
basic of embedded system
 

Andere mochten auch

DCD Census Key Findings India 2011-2012
DCD Census Key Findings India 2011-2012DCD Census Key Findings India 2011-2012
DCD Census Key Findings India 2011-2012Anixter
 
2014 Mediakit V 1.2
2014 Mediakit V 1.22014 Mediakit V 1.2
2014 Mediakit V 1.2ben007
 
Adventures in Cat Herding
Adventures in Cat HerdingAdventures in Cat Herding
Adventures in Cat HerdingLarry Belmont
 
DCD Census Key findings Australia 2011-2012
DCD Census Key findings Australia 2011-2012DCD Census Key findings Australia 2011-2012
DCD Census Key findings Australia 2011-2012Anixter
 
DCD Census Key Findings SEA 2011-2012
DCD Census Key Findings SEA 2011-2012DCD Census Key Findings SEA 2011-2012
DCD Census Key Findings SEA 2011-2012Anixter
 
Saku textmining 4 textmining for social
Saku textmining 4  textmining for socialSaku textmining 4  textmining for social
Saku textmining 4 textmining for socialstiq 2e
 
20080617 05 Mysql
20080617 05 Mysql20080617 05 Mysql
20080617 05 Mysqlstiq 2e
 
DCD Census Key findings China 2011-2012
DCD Census Key findings China 2011-2012DCD Census Key findings China 2011-2012
DCD Census Key findings China 2011-2012Anixter
 
SHORT VERSION - Adventures in Agility: How One Online Publisher Changed Their...
SHORT VERSION - Adventures in Agility: How One Online Publisher Changed Their...SHORT VERSION - Adventures in Agility: How One Online Publisher Changed Their...
SHORT VERSION - Adventures in Agility: How One Online Publisher Changed Their...Larry Belmont
 
Smart systems for energy management
Smart systems for energy managementSmart systems for energy management
Smart systems for energy managementRajveer Shekhawat
 
私のSql -My Sql
私のSql -My Sql私のSql -My Sql
私のSql -My Sqlstiq 2e
 
Smart Gas Meters Technology & Challenges-CGD2014
Smart Gas Meters Technology & Challenges-CGD2014Smart Gas Meters Technology & Challenges-CGD2014
Smart Gas Meters Technology & Challenges-CGD2014Rajveer Shekhawat
 
PPT 2 day Shanghai
PPT  2 day ShanghaiPPT  2 day Shanghai
PPT 2 day Shanghaiben007
 
Innovating new products using multiphysics modeling comsol2012-bangalore
Innovating new products using multiphysics modeling comsol2012-bangaloreInnovating new products using multiphysics modeling comsol2012-bangalore
Innovating new products using multiphysics modeling comsol2012-bangaloreRajveer Shekhawat
 
Lessons from life as a dog
Lessons from life as a dogLessons from life as a dog
Lessons from life as a dogKatie Delgado
 

Andere mochten auch (17)

DCD Census Key Findings India 2011-2012
DCD Census Key Findings India 2011-2012DCD Census Key Findings India 2011-2012
DCD Census Key Findings India 2011-2012
 
2014 Mediakit V 1.2
2014 Mediakit V 1.22014 Mediakit V 1.2
2014 Mediakit V 1.2
 
Adventures in Cat Herding
Adventures in Cat HerdingAdventures in Cat Herding
Adventures in Cat Herding
 
Dick and Jane
Dick and JaneDick and Jane
Dick and Jane
 
DCD Census Key findings Australia 2011-2012
DCD Census Key findings Australia 2011-2012DCD Census Key findings Australia 2011-2012
DCD Census Key findings Australia 2011-2012
 
DCD Census Key Findings SEA 2011-2012
DCD Census Key Findings SEA 2011-2012DCD Census Key Findings SEA 2011-2012
DCD Census Key Findings SEA 2011-2012
 
Saku textmining 4 textmining for social
Saku textmining 4  textmining for socialSaku textmining 4  textmining for social
Saku textmining 4 textmining for social
 
20080617 05 Mysql
20080617 05 Mysql20080617 05 Mysql
20080617 05 Mysql
 
DCD Census Key findings China 2011-2012
DCD Census Key findings China 2011-2012DCD Census Key findings China 2011-2012
DCD Census Key findings China 2011-2012
 
SHORT VERSION - Adventures in Agility: How One Online Publisher Changed Their...
SHORT VERSION - Adventures in Agility: How One Online Publisher Changed Their...SHORT VERSION - Adventures in Agility: How One Online Publisher Changed Their...
SHORT VERSION - Adventures in Agility: How One Online Publisher Changed Their...
 
Smart systems for energy management
Smart systems for energy managementSmart systems for energy management
Smart systems for energy management
 
私のSql -My Sql
私のSql -My Sql私のSql -My Sql
私のSql -My Sql
 
Smart Gas Meters Technology & Challenges-CGD2014
Smart Gas Meters Technology & Challenges-CGD2014Smart Gas Meters Technology & Challenges-CGD2014
Smart Gas Meters Technology & Challenges-CGD2014
 
PPT 2 day Shanghai
PPT  2 day ShanghaiPPT  2 day Shanghai
PPT 2 day Shanghai
 
Innovating new products using multiphysics modeling comsol2012-bangalore
Innovating new products using multiphysics modeling comsol2012-bangaloreInnovating new products using multiphysics modeling comsol2012-bangalore
Innovating new products using multiphysics modeling comsol2012-bangalore
 
Lessons from life as a dog
Lessons from life as a dogLessons from life as a dog
Lessons from life as a dog
 
VoX
VoXVoX
VoX
 

Ähnlich wie Architectures for mobile computing dec12

Yashi dealer meeting settembre 2016 tecnologie xeon intel italia
Yashi dealer meeting settembre 2016 tecnologie xeon intel italiaYashi dealer meeting settembre 2016 tecnologie xeon intel italia
Yashi dealer meeting settembre 2016 tecnologie xeon intel italiaYashi Italia
 
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsThe Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsRebekah Rodriguez
 
Brochure (2016-01-30)
Brochure (2016-01-30)Brochure (2016-01-30)
Brochure (2016-01-30)Jonah McLeod
 
Casp report
Casp reportCasp report
Casp reportqudhuqdh
 
Network Processing on an SPE Core in Cell Broadband EngineTM
Network Processing on an SPE Core in Cell Broadband EngineTMNetwork Processing on an SPE Core in Cell Broadband EngineTM
Network Processing on an SPE Core in Cell Broadband EngineTMSlide_N
 
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKSHIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKSijngnjournal
 
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...IRJET Journal
 
Crypto Performance on ARM Cortex-M Processors
Crypto Performance on ARM Cortex-M ProcessorsCrypto Performance on ARM Cortex-M Processors
Crypto Performance on ARM Cortex-M ProcessorsHannes Tschofenig
 
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)Ontico
 
Embedded systems-unit-1
Embedded systems-unit-1Embedded systems-unit-1
Embedded systems-unit-1Prabhu Mali
 
From Rack scale computers to Warehouse scale computers
From Rack scale computers to Warehouse scale computersFrom Rack scale computers to Warehouse scale computers
From Rack scale computers to Warehouse scale computersRyousei Takano
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...IAEME Publication
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...IAEME Publication
 
Architecture exploration of recent GPUs to analyze the efficiency of hardware...
Architecture exploration of recent GPUs to analyze the efficiency of hardware...Architecture exploration of recent GPUs to analyze the efficiency of hardware...
Architecture exploration of recent GPUs to analyze the efficiency of hardware...journalBEEI
 
Cache performance-x86-2009
Cache performance-x86-2009Cache performance-x86-2009
Cache performance-x86-2009Léia de Sousa
 
Advantech Intelligent Communication Gateways are ARM-based robust platforms w...
Advantech Intelligent Communication Gateways are ARM-based robust platforms w...Advantech Intelligent Communication Gateways are ARM-based robust platforms w...
Advantech Intelligent Communication Gateways are ARM-based robust platforms w...samveed
 

Ähnlich wie Architectures for mobile computing dec12 (20)

Yashi dealer meeting settembre 2016 tecnologie xeon intel italia
Yashi dealer meeting settembre 2016 tecnologie xeon intel italiaYashi dealer meeting settembre 2016 tecnologie xeon intel italia
Yashi dealer meeting settembre 2016 tecnologie xeon intel italia
 
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsThe Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
 
Brochure (2016-01-30)
Brochure (2016-01-30)Brochure (2016-01-30)
Brochure (2016-01-30)
 
Casp report
Casp reportCasp report
Casp report
 
Network Processing on an SPE Core in Cell Broadband EngineTM
Network Processing on an SPE Core in Cell Broadband EngineTMNetwork Processing on an SPE Core in Cell Broadband EngineTM
Network Processing on an SPE Core in Cell Broadband EngineTM
 
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKSHIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
 
ate_full_paper
ate_full_paperate_full_paper
ate_full_paper
 
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
 
Crypto Performance on ARM Cortex-M Processors
Crypto Performance on ARM Cortex-M ProcessorsCrypto Performance on ARM Cortex-M Processors
Crypto Performance on ARM Cortex-M Processors
 
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
 
Embedded systems-unit-1
Embedded systems-unit-1Embedded systems-unit-1
Embedded systems-unit-1
 
From Rack scale computers to Warehouse scale computers
From Rack scale computers to Warehouse scale computersFrom Rack scale computers to Warehouse scale computers
From Rack scale computers to Warehouse scale computers
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
Embeddedsystem
EmbeddedsystemEmbeddedsystem
Embeddedsystem
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
Architecture exploration of recent GPUs to analyze the efficiency of hardware...
Architecture exploration of recent GPUs to analyze the efficiency of hardware...Architecture exploration of recent GPUs to analyze the efficiency of hardware...
Architecture exploration of recent GPUs to analyze the efficiency of hardware...
 
Cache performance-x86-2009
Cache performance-x86-2009Cache performance-x86-2009
Cache performance-x86-2009
 
Advantech Intelligent Communication Gateways are ARM-based robust platforms w...
Advantech Intelligent Communication Gateways are ARM-based robust platforms w...Advantech Intelligent Communication Gateways are ARM-based robust platforms w...
Advantech Intelligent Communication Gateways are ARM-based robust platforms w...
 
Asar resume
Asar resumeAsar resume
Asar resume
 

Kürzlich hochgeladen

Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptNANDHAKUMARA10
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptMsecMca
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdfKamal Acharya
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdfKamal Acharya
 
+97470301568>> buy weed in qatar,buy thc oil qatar,buy weed and vape oil in d...
+97470301568>> buy weed in qatar,buy thc oil qatar,buy weed and vape oil in d...+97470301568>> buy weed in qatar,buy thc oil qatar,buy weed and vape oil in d...
+97470301568>> buy weed in qatar,buy thc oil qatar,buy weed and vape oil in d...Health
 
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...soginsider
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdfKamal Acharya
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptxJIT KUMAR GUPTA
 
2016EF22_0 solar project report rooftop projects
2016EF22_0 solar project report rooftop projects2016EF22_0 solar project report rooftop projects
2016EF22_0 solar project report rooftop projectssmsksolar
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTbhaskargani46
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwaitjaanualu31
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxSCMS School of Architecture
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Servicemeghakumariji156
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXssuser89054b
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadhamedmustafa094
 
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...HenryBriggs2
 
Learn the concepts of Thermodynamics on Magic Marks
Learn the concepts of Thermodynamics on Magic MarksLearn the concepts of Thermodynamics on Magic Marks
Learn the concepts of Thermodynamics on Magic MarksMagic Marks
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startQuintin Balsdon
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 

Kürzlich hochgeladen (20)

Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdf
 
+97470301568>> buy weed in qatar,buy thc oil qatar,buy weed and vape oil in d...
+97470301568>> buy weed in qatar,buy thc oil qatar,buy weed and vape oil in d...+97470301568>> buy weed in qatar,buy thc oil qatar,buy weed and vape oil in d...
+97470301568>> buy weed in qatar,buy thc oil qatar,buy weed and vape oil in d...
 
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdf
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
2016EF22_0 solar project report rooftop projects
2016EF22_0 solar project report rooftop projects2016EF22_0 solar project report rooftop projects
2016EF22_0 solar project report rooftop projects
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal load
 
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
 
Learn the concepts of Thermodynamics on Magic Marks
Learn the concepts of Thermodynamics on Magic MarksLearn the concepts of Thermodynamics on Magic Marks
Learn the concepts of Thermodynamics on Magic Marks
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 

Architectures for mobile computing dec12