SlideShare ist ein Scribd-Unternehmen logo
1 von 11
PRACTICAL
CONSIDERATION OF
RESIDENTIAL
BUIDLING
• Besides all the fundamentals of planning discussed, the following practical points should be
additionally considered:
• Strength, stability, convenience, and comfort of the occupants of the building, should be
considered first.
• Provisions for future extensions without dismantling should be made in the planning.
• The building should be strong and capable to withstand the likely adverse effects of natural
agencies (earthquake, flood, storm, etc.)
• Elevation should be simple yet attractive. Too many porches may give good elevation for
some time, but in the end, simple designs fit better for generations.
• Larger size of the room should always be considered as far as possible as it can be shortened
by providing partitions, but smaller rooms cannot be enlarged easily.
Standard Size Of Building Components For Single Dwelling
Table no:1 Plot category by income group
Income group Plot categories* Sq. m Area:
appx.eq.sq.yds
High A B** 500
312
600
375
Middle C D 250
160
300
190
Low E F 105
72
125
85
*In a privet housing scheme, no plot should exceed 1000 sq. m
**Plot category “A” may also be 420 sq. m (500 sq. yds.)
Standard dimension:
Front-depth ratios in the ranges of 1:2 to 1:2:5 are generally recommended to minimize lengths
of service runs. However, plot dimensions need to be considered climatic zone, topography,
permissible building area, and stipulated building lines.
Source: NRM book
Standard Plot Dimension For Single Dwelling With Variants For Climate And Topography
Table no:2 plot dimension for single dwelling
Plot dimension Front x Depth (meters)
Plot
category
Size
sq.m
Standard In extra dry hot warm
composite and island
maritime climate*
Hill side
plots**
A 500 15.50x32.25 15.50x32.25 32.2515.50
A1 420 14 x 30 14 x 30 30 x 14
B 312 12 x 26 12 x 26 26 x 12
C 250 10 x 25 10 x 25 25 x 10
D 160 8 x 20 10 x 16 20 x 8
E 105 7 x 15 10 x 10.5 15 x 7
F 72 6 x 12 8 x 9 12 x 6
*Large plots governed by services length minimization criterion, small plots by internal
courtyard criterion.
**High percentage of hillside plots may be irregular owing to topography.
Maximum Coverage of Plot Size:
The maximum percentage of coverage of the plot is related to plot size.
small plot necessitates a higher percentage coverage area to meet the minimum indoor space
requirements.
on a large plot, the maximum covered area may be restricted to 65% to achieve lower densities
in keeping with higher-income residential zone to allow space for outdoor activity,
landscaping, gardening, and outside vehicle parks.
Source: NRM Book
Table no:3 maximum coverage of plot
Category Sq.m Most prevailing
by-laws (%)
Recommended maximum
ground coverage (%)
Recommended
maximum aggregated
floor area (%)
A 500 50-60 60-65 125
A1 420 50-60 65 130
B 312 60 65 130
C 250 60 65 130
D 160 70 70 140
E 105 70 75 150
F 72 70 75 150
Building Line and Space Around Building:
• Spaces around the buildings are used to achieve the following objectives:
• All the buildings can be erected along a common line thus improving the general vista of the
road.
• The space can be used for parking and/or gardening.
• The fire risk can be reduced by stipulating the distance between neighboring buildings.
• The indoor light and air circulation etc. Can be increased when desired.
• Street noise and dust can be reduced.
Building Line in General:
1. In the case of plots A and B, a front space of 3m, a rare space of 3m, and a single side space is 1.5m are
recommended. For category C, the front rarer and single side should be 3m, 1.5m, and 1.5m respectively.
2. In maritime climate zone, large front rear spaces are required. The depth of one of these spaces should be
4.5m (15’) and the other 1.5m (5’) for plot categories A and B. For category C either front or rear space
4.5m (15’) deep should be stipulated
3. In cool/cold composite and an extra dry cool zone, only south-facing spaces are required to invite sun
rays into the house. The space should be 3m (10’) deep in the case of plot categories A and B and 1.5m
(5’) in the case of category C.
Multi-Unit-Dwelling
• Set back from plot line = height of the building
divided by tan60
• For example, if height = 15m then setback from plot
line = 15 divided by 1.732 = 8.66m appx.
• The standard composition of the plot is given
below:
• Table no:4 Composition of Plot
Category Area (sq.m)
Percentage of
plot required
A/A1 500/420 5%
B 312 5%
C 250 20%
D 160 20%
E 105 75%
F 72 75%
Building Height:
Minimum clear floor ceiling heights shall be 3.0m (9’–10”)
Minimum floor-to-ceiling height of out-house, store, and bathroom shall be 2.3m (7’- 6”)
Maximum floor-to-floor height of a single story should not exceed 4.25m (14’)
Two-story dwelling should not exceed 7.6m (25’)
and three-story dwelling should not exceed 11.6m (38’) including the parapet wall.
Plot Relationship:
As a guide, a continuous row of plots should not be exceeding 150m because of monotony and blockading effects.
Beyond 100m, a break crosswalk is desirable. There may be cases where these guidelines cannot have achieved.
As a guide, a straight row of a plot should not be less than 75m as this increase the number of sewer man-holes
unnecessarily.

Weitere ähnliche Inhalte

Ähnlich wie PRACTICAL CONSIDERATION OF RESIDENTIAL BUIDLING.pptx

Planning of residential buildings
Planning of residential buildingsPlanning of residential buildings
Planning of residential buildingsShashiraj Chougule
 
Building bye-laws serve as essential legal instruments employed to govern and...
Building bye-laws serve as essential legal instruments employed to govern and...Building bye-laws serve as essential legal instruments employed to govern and...
Building bye-laws serve as essential legal instruments employed to govern and...sruthigoud6
 
report on high riseee
report on high riseeereport on high riseee
report on high riseeeAditya Sanyal
 
MODULE 2 Introduction and Town Planning.pptx
MODULE 2 Introduction and Town Planning.pptxMODULE 2 Introduction and Town Planning.pptx
MODULE 2 Introduction and Town Planning.pptxSilasChaudhari
 
PRACTICAL AND DESIGN ASPECTS OF TERRACING (2)-4.pptx
PRACTICAL AND DESIGN ASPECTS OF TERRACING (2)-4.pptxPRACTICAL AND DESIGN ASPECTS OF TERRACING (2)-4.pptx
PRACTICAL AND DESIGN ASPECTS OF TERRACING (2)-4.pptxsiddhantshukla53
 
Architectural Bye-Laws For Hotels
Architectural Bye-Laws For HotelsArchitectural Bye-Laws For Hotels
Architectural Bye-Laws For HotelsAr. Md Shahroz Alam
 
IRJET- A Technical Approach to Flat Slab Multistorey Building under Wind Spee...
IRJET- A Technical Approach to Flat Slab Multistorey Building under Wind Spee...IRJET- A Technical Approach to Flat Slab Multistorey Building under Wind Spee...
IRJET- A Technical Approach to Flat Slab Multistorey Building under Wind Spee...IRJET Journal
 
KPBR.pptx
KPBR.pptxKPBR.pptx
KPBR.pptxMedhaH
 
Cellular light weight concrete block CLC technology
Cellular light weight concrete block CLC technologyCellular light weight concrete block CLC technology
Cellular light weight concrete block CLC technologyNILESH AJMERA
 
1 -safety of buildingd-bobin moahnty
1 -safety of buildingd-bobin moahnty1 -safety of buildingd-bobin moahnty
1 -safety of buildingd-bobin moahntySivaranjan
 
36405231_seismic_design_steps_153.ppt
36405231_seismic_design_steps_153.ppt36405231_seismic_design_steps_153.ppt
36405231_seismic_design_steps_153.pptIrshadKhan97473
 
SEISMIC DESIGN STEPS.ppt
SEISMIC DESIGN STEPS.pptSEISMIC DESIGN STEPS.ppt
SEISMIC DESIGN STEPS.pptAteeqRahman26
 

Ähnlich wie PRACTICAL CONSIDERATION OF RESIDENTIAL BUIDLING.pptx (20)

Building by laws
Building  by lawsBuilding  by laws
Building by laws
 
Planning of residential buildings
Planning of residential buildingsPlanning of residential buildings
Planning of residential buildings
 
Building bye-laws serve as essential legal instruments employed to govern and...
Building bye-laws serve as essential legal instruments employed to govern and...Building bye-laws serve as essential legal instruments employed to govern and...
Building bye-laws serve as essential legal instruments employed to govern and...
 
report on high riseee
report on high riseeereport on high riseee
report on high riseee
 
High rise structure & core
High rise  structure & coreHigh rise  structure & core
High rise structure & core
 
principles of Building planning (BTP) (ECE)
principles of Building planning (BTP) (ECE)principles of Building planning (BTP) (ECE)
principles of Building planning (BTP) (ECE)
 
Ch 8 building planning
Ch 8 building planningCh 8 building planning
Ch 8 building planning
 
MODULE 2 Introduction and Town Planning.pptx
MODULE 2 Introduction and Town Planning.pptxMODULE 2 Introduction and Town Planning.pptx
MODULE 2 Introduction and Town Planning.pptx
 
Godown management
Godown managementGodown management
Godown management
 
PRACTICAL AND DESIGN ASPECTS OF TERRACING (2)-4.pptx
PRACTICAL AND DESIGN ASPECTS OF TERRACING (2)-4.pptxPRACTICAL AND DESIGN ASPECTS OF TERRACING (2)-4.pptx
PRACTICAL AND DESIGN ASPECTS OF TERRACING (2)-4.pptx
 
Architectural Bye-Laws For Hotels
Architectural Bye-Laws For HotelsArchitectural Bye-Laws For Hotels
Architectural Bye-Laws For Hotels
 
IRJET- A Technical Approach to Flat Slab Multistorey Building under Wind Spee...
IRJET- A Technical Approach to Flat Slab Multistorey Building under Wind Spee...IRJET- A Technical Approach to Flat Slab Multistorey Building under Wind Spee...
IRJET- A Technical Approach to Flat Slab Multistorey Building under Wind Spee...
 
Civil drawing detail
Civil drawing detailCivil drawing detail
Civil drawing detail
 
KPBR.pptx
KPBR.pptxKPBR.pptx
KPBR.pptx
 
Cellular light weight concrete block CLC technology
Cellular light weight concrete block CLC technologyCellular light weight concrete block CLC technology
Cellular light weight concrete block CLC technology
 
structural scheme
structural schemestructural scheme
structural scheme
 
1 -safety of buildingd-bobin moahnty
1 -safety of buildingd-bobin moahnty1 -safety of buildingd-bobin moahnty
1 -safety of buildingd-bobin moahnty
 
36405231_seismic_design_steps_153.ppt
36405231_seismic_design_steps_153.ppt36405231_seismic_design_steps_153.ppt
36405231_seismic_design_steps_153.ppt
 
SEISMIC DESIGN STEPS.ppt
SEISMIC DESIGN STEPS.pptSEISMIC DESIGN STEPS.ppt
SEISMIC DESIGN STEPS.ppt
 
Seismic design steps
Seismic design stepsSeismic design steps
Seismic design steps
 

Mehr von Mehran University of Engineering and Technology Jamshoro

Mehr von Mehran University of Engineering and Technology Jamshoro (15)

What is the difference between slum and squatter.pptx
What is the difference between slum and squatter.pptxWhat is the difference between slum and squatter.pptx
What is the difference between slum and squatter.pptx
 
What is globalization and urbanization.pptx
What is globalization and urbanization.pptxWhat is globalization and urbanization.pptx
What is globalization and urbanization.pptx
 
What are the largest slum area in the.pptx
What are the largest slum area in the.pptxWhat are the largest slum area in the.pptx
What are the largest slum area in the.pptx
 
TYPES OF CONSTRUCTION.pptx
TYPES OF CONSTRUCTION.pptxTYPES OF CONSTRUCTION.pptx
TYPES OF CONSTRUCTION.pptx
 
Role of urban planner.pptx
Role of urban planner.pptxRole of urban planner.pptx
Role of urban planner.pptx
 
Principle of Building Planning.pptx
Principle of Building Planning.pptxPrinciple of Building Planning.pptx
Principle of Building Planning.pptx
 
Presentation1.pptx
Presentation1.pptxPresentation1.pptx
Presentation1.pptx
 
LOCATIONAL REQUIREMENTS OF RESIDENTIAL AREA.pptx
LOCATIONAL REQUIREMENTS OF RESIDENTIAL AREA.pptxLOCATIONAL REQUIREMENTS OF RESIDENTIAL AREA.pptx
LOCATIONAL REQUIREMENTS OF RESIDENTIAL AREA.pptx
 
Locational Requirements and Planning Implications for Residential area.pptx
Locational Requirements and Planning Implications for Residential area.pptxLocational Requirements and Planning Implications for Residential area.pptx
Locational Requirements and Planning Implications for Residential area.pptx
 
Katchi Abadis (squatter settlements).pptx
Katchi Abadis (squatter settlements).pptxKatchi Abadis (squatter settlements).pptx
Katchi Abadis (squatter settlements).pptx
 
introduction to construction technology.pptx
introduction to construction technology.pptxintroduction to construction technology.pptx
introduction to construction technology.pptx
 
introduction to construction material.pptx
introduction to construction material.pptxintroduction to construction material.pptx
introduction to construction material.pptx
 
Formal and informal settlements of housing.pptx
Formal and informal settlements of housing.pptxFormal and informal settlements of housing.pptx
Formal and informal settlements of housing.pptx
 
China cutting, urban slums, land banking
China cutting, urban slums, land bankingChina cutting, urban slums, land banking
China cutting, urban slums, land banking
 
Are urbanization effects good or not.pptx
Are urbanization effects good or not.pptxAre urbanization effects good or not.pptx
Are urbanization effects good or not.pptx
 

Kürzlich hochgeladen

Arduino based vehicle speed tracker project
Arduino based vehicle speed tracker projectArduino based vehicle speed tracker project
Arduino based vehicle speed tracker projectRased Khan
 
BURGER ORDERING SYSYTEM PROJECT REPORT..pdf
BURGER ORDERING SYSYTEM PROJECT REPORT..pdfBURGER ORDERING SYSYTEM PROJECT REPORT..pdf
BURGER ORDERING SYSYTEM PROJECT REPORT..pdfKamal Acharya
 
Low rpm Generator for efficient energy harnessing from a two stage wind turbine
Low rpm Generator for efficient energy harnessing from a two stage wind turbineLow rpm Generator for efficient energy harnessing from a two stage wind turbine
Low rpm Generator for efficient energy harnessing from a two stage wind turbineAftabkhan575376
 
Teachers record management system project report..pdf
Teachers record management system project report..pdfTeachers record management system project report..pdf
Teachers record management system project report..pdfKamal Acharya
 
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...Roi Lipman
 
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdfInvolute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdfJNTUA
 
ROAD CONSTRUCTION PRESENTATION.PPTX.pptx
ROAD CONSTRUCTION PRESENTATION.PPTX.pptxROAD CONSTRUCTION PRESENTATION.PPTX.pptx
ROAD CONSTRUCTION PRESENTATION.PPTX.pptxGagandeepKaur617299
 
Research Methodolgy & Intellectual Property Rights Series 1
Research Methodolgy & Intellectual Property Rights Series 1Research Methodolgy & Intellectual Property Rights Series 1
Research Methodolgy & Intellectual Property Rights Series 1T.D. Shashikala
 
2024 DevOps Pro Europe - Growing at the edge
2024 DevOps Pro Europe - Growing at the edge2024 DevOps Pro Europe - Growing at the edge
2024 DevOps Pro Europe - Growing at the edgePaco Orozco
 
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical EngineeringIntroduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical EngineeringC Sai Kiran
 
Furniture showroom management system project.pdf
Furniture showroom management system project.pdfFurniture showroom management system project.pdf
Furniture showroom management system project.pdfKamal Acharya
 
E-Commerce Shopping using MERN Stack where different modules are present
E-Commerce Shopping using MERN Stack where different modules are presentE-Commerce Shopping using MERN Stack where different modules are present
E-Commerce Shopping using MERN Stack where different modules are presentjatinraor66
 
School management system project report.pdf
School management system project report.pdfSchool management system project report.pdf
School management system project report.pdfKamal Acharya
 
Artificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian ReasoningArtificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian Reasoninghotman30312
 
Quiz application system project report..pdf
Quiz application system project report..pdfQuiz application system project report..pdf
Quiz application system project report..pdfKamal Acharya
 
Online book store management system project.pdf
Online book store management system project.pdfOnline book store management system project.pdf
Online book store management system project.pdfKamal Acharya
 
Multivibrator and its types defination and usges.pptx
Multivibrator and its types defination and usges.pptxMultivibrator and its types defination and usges.pptx
Multivibrator and its types defination and usges.pptxalijaker017
 
Attraction and Repulsion type Moving Iron Instruments.pptx
Attraction and Repulsion type Moving Iron Instruments.pptxAttraction and Repulsion type Moving Iron Instruments.pptx
Attraction and Repulsion type Moving Iron Instruments.pptxkarthikeyanS725446
 
solid state electronics ktu module 5 slides
solid state electronics ktu module 5 slidessolid state electronics ktu module 5 slides
solid state electronics ktu module 5 slidesARUN AV
 
Theory for How to calculation capacitor bank
Theory for How to calculation capacitor bankTheory for How to calculation capacitor bank
Theory for How to calculation capacitor banktawat puangthong
 

Kürzlich hochgeladen (20)

Arduino based vehicle speed tracker project
Arduino based vehicle speed tracker projectArduino based vehicle speed tracker project
Arduino based vehicle speed tracker project
 
BURGER ORDERING SYSYTEM PROJECT REPORT..pdf
BURGER ORDERING SYSYTEM PROJECT REPORT..pdfBURGER ORDERING SYSYTEM PROJECT REPORT..pdf
BURGER ORDERING SYSYTEM PROJECT REPORT..pdf
 
Low rpm Generator for efficient energy harnessing from a two stage wind turbine
Low rpm Generator for efficient energy harnessing from a two stage wind turbineLow rpm Generator for efficient energy harnessing from a two stage wind turbine
Low rpm Generator for efficient energy harnessing from a two stage wind turbine
 
Teachers record management system project report..pdf
Teachers record management system project report..pdfTeachers record management system project report..pdf
Teachers record management system project report..pdf
 
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
 
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdfInvolute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
 
ROAD CONSTRUCTION PRESENTATION.PPTX.pptx
ROAD CONSTRUCTION PRESENTATION.PPTX.pptxROAD CONSTRUCTION PRESENTATION.PPTX.pptx
ROAD CONSTRUCTION PRESENTATION.PPTX.pptx
 
Research Methodolgy & Intellectual Property Rights Series 1
Research Methodolgy & Intellectual Property Rights Series 1Research Methodolgy & Intellectual Property Rights Series 1
Research Methodolgy & Intellectual Property Rights Series 1
 
2024 DevOps Pro Europe - Growing at the edge
2024 DevOps Pro Europe - Growing at the edge2024 DevOps Pro Europe - Growing at the edge
2024 DevOps Pro Europe - Growing at the edge
 
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical EngineeringIntroduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
 
Furniture showroom management system project.pdf
Furniture showroom management system project.pdfFurniture showroom management system project.pdf
Furniture showroom management system project.pdf
 
E-Commerce Shopping using MERN Stack where different modules are present
E-Commerce Shopping using MERN Stack where different modules are presentE-Commerce Shopping using MERN Stack where different modules are present
E-Commerce Shopping using MERN Stack where different modules are present
 
School management system project report.pdf
School management system project report.pdfSchool management system project report.pdf
School management system project report.pdf
 
Artificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian ReasoningArtificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian Reasoning
 
Quiz application system project report..pdf
Quiz application system project report..pdfQuiz application system project report..pdf
Quiz application system project report..pdf
 
Online book store management system project.pdf
Online book store management system project.pdfOnline book store management system project.pdf
Online book store management system project.pdf
 
Multivibrator and its types defination and usges.pptx
Multivibrator and its types defination and usges.pptxMultivibrator and its types defination and usges.pptx
Multivibrator and its types defination and usges.pptx
 
Attraction and Repulsion type Moving Iron Instruments.pptx
Attraction and Repulsion type Moving Iron Instruments.pptxAttraction and Repulsion type Moving Iron Instruments.pptx
Attraction and Repulsion type Moving Iron Instruments.pptx
 
solid state electronics ktu module 5 slides
solid state electronics ktu module 5 slidessolid state electronics ktu module 5 slides
solid state electronics ktu module 5 slides
 
Theory for How to calculation capacitor bank
Theory for How to calculation capacitor bankTheory for How to calculation capacitor bank
Theory for How to calculation capacitor bank
 

PRACTICAL CONSIDERATION OF RESIDENTIAL BUIDLING.pptx

  • 2. • Besides all the fundamentals of planning discussed, the following practical points should be additionally considered: • Strength, stability, convenience, and comfort of the occupants of the building, should be considered first. • Provisions for future extensions without dismantling should be made in the planning. • The building should be strong and capable to withstand the likely adverse effects of natural agencies (earthquake, flood, storm, etc.) • Elevation should be simple yet attractive. Too many porches may give good elevation for some time, but in the end, simple designs fit better for generations. • Larger size of the room should always be considered as far as possible as it can be shortened by providing partitions, but smaller rooms cannot be enlarged easily.
  • 3. Standard Size Of Building Components For Single Dwelling Table no:1 Plot category by income group Income group Plot categories* Sq. m Area: appx.eq.sq.yds High A B** 500 312 600 375 Middle C D 250 160 300 190 Low E F 105 72 125 85
  • 4. *In a privet housing scheme, no plot should exceed 1000 sq. m **Plot category “A” may also be 420 sq. m (500 sq. yds.) Standard dimension: Front-depth ratios in the ranges of 1:2 to 1:2:5 are generally recommended to minimize lengths of service runs. However, plot dimensions need to be considered climatic zone, topography, permissible building area, and stipulated building lines. Source: NRM book
  • 5. Standard Plot Dimension For Single Dwelling With Variants For Climate And Topography Table no:2 plot dimension for single dwelling Plot dimension Front x Depth (meters) Plot category Size sq.m Standard In extra dry hot warm composite and island maritime climate* Hill side plots** A 500 15.50x32.25 15.50x32.25 32.2515.50 A1 420 14 x 30 14 x 30 30 x 14 B 312 12 x 26 12 x 26 26 x 12 C 250 10 x 25 10 x 25 25 x 10 D 160 8 x 20 10 x 16 20 x 8 E 105 7 x 15 10 x 10.5 15 x 7 F 72 6 x 12 8 x 9 12 x 6
  • 6. *Large plots governed by services length minimization criterion, small plots by internal courtyard criterion. **High percentage of hillside plots may be irregular owing to topography. Maximum Coverage of Plot Size: The maximum percentage of coverage of the plot is related to plot size. small plot necessitates a higher percentage coverage area to meet the minimum indoor space requirements. on a large plot, the maximum covered area may be restricted to 65% to achieve lower densities in keeping with higher-income residential zone to allow space for outdoor activity, landscaping, gardening, and outside vehicle parks. Source: NRM Book
  • 7. Table no:3 maximum coverage of plot Category Sq.m Most prevailing by-laws (%) Recommended maximum ground coverage (%) Recommended maximum aggregated floor area (%) A 500 50-60 60-65 125 A1 420 50-60 65 130 B 312 60 65 130 C 250 60 65 130 D 160 70 70 140 E 105 70 75 150 F 72 70 75 150
  • 8. Building Line and Space Around Building: • Spaces around the buildings are used to achieve the following objectives: • All the buildings can be erected along a common line thus improving the general vista of the road. • The space can be used for parking and/or gardening. • The fire risk can be reduced by stipulating the distance between neighboring buildings. • The indoor light and air circulation etc. Can be increased when desired. • Street noise and dust can be reduced.
  • 9. Building Line in General: 1. In the case of plots A and B, a front space of 3m, a rare space of 3m, and a single side space is 1.5m are recommended. For category C, the front rarer and single side should be 3m, 1.5m, and 1.5m respectively. 2. In maritime climate zone, large front rear spaces are required. The depth of one of these spaces should be 4.5m (15’) and the other 1.5m (5’) for plot categories A and B. For category C either front or rear space 4.5m (15’) deep should be stipulated 3. In cool/cold composite and an extra dry cool zone, only south-facing spaces are required to invite sun rays into the house. The space should be 3m (10’) deep in the case of plot categories A and B and 1.5m (5’) in the case of category C.
  • 10. Multi-Unit-Dwelling • Set back from plot line = height of the building divided by tan60 • For example, if height = 15m then setback from plot line = 15 divided by 1.732 = 8.66m appx. • The standard composition of the plot is given below: • Table no:4 Composition of Plot Category Area (sq.m) Percentage of plot required A/A1 500/420 5% B 312 5% C 250 20% D 160 20% E 105 75% F 72 75%
  • 11. Building Height: Minimum clear floor ceiling heights shall be 3.0m (9’–10”) Minimum floor-to-ceiling height of out-house, store, and bathroom shall be 2.3m (7’- 6”) Maximum floor-to-floor height of a single story should not exceed 4.25m (14’) Two-story dwelling should not exceed 7.6m (25’) and three-story dwelling should not exceed 11.6m (38’) including the parapet wall. Plot Relationship: As a guide, a continuous row of plots should not be exceeding 150m because of monotony and blockading effects. Beyond 100m, a break crosswalk is desirable. There may be cases where these guidelines cannot have achieved. As a guide, a straight row of a plot should not be less than 75m as this increase the number of sewer man-holes unnecessarily.