SlideShare ist ein Scribd-Unternehmen logo
1 von 4
Downloaden Sie, um offline zu lesen
Ujwal Shirode, Ajay Gadhe / International Journal of Engineering Research and Applications
                    (IJERA)            ISSN: 2248-9622    www.ijera.com
                     Vol. 3, Issue 1, January -February 2013, pp.876-879

   Read stability and read failure analysis of low voltage Schmitt-
                    Trigger based SRAM bitcell
                                  Ujwal Shirode*, Ajay Gadhe**
                 *
                  (Department of Electronics, North Maharashtra University, Jalgaon- 425001)
                **
                   (Department of Electronics, North Maharashtra University, Jalgaon- 425001)


ABSTRACT
          We analyze Schmitt-Trigger (ST) based          bitcells has a mechanism to improve the stability of
differential sensing static random access memory         the inverter pair under low voltage operation. The
(SRAM) bitcells for ultralow voltage operation.          proposed Schmitt trigger based differential bitcell
The ST-based SRAM bitcells address the                   having built-in feedback mechanism for improve the
fundamental conflicting design requirement of the        stability of the inverter pair under low voltage
read versus write operation of a conventional 6T         operation [4].
bitcell. The ST operation gives better read-
stability as well as better read-failure probability     2. SIX TRANSISTOR SRAM CELL
compared to the standard 6T bitcell. The                          The 6-transistor (6T) cell which uses a
proposed ST based bitcells incorporate a built-in        cross-coupled inverter pair is the de facto memory
feedback mechanism. Balancing the trade-offs             bitcell used in the current SRAM designs. The
between small areas, low powers, fast reads/writes       conventional 6T SRAM cell design is as shown in
are an essential part of any SRAM design. That is,       Fig.1. 6T cell utilize differential read operation [5].
SRAM design requires balancing among various                The memory cell in SRAM consists of two static
design criteria such as minimizing cell area using       inverters that feed into each other creating a latch.
smaller transistor, maintaining read/write               Access into the memory cell is controlled through
stability, minimizing power consumption by               access transistor logic connected between the
reducing power supply, minimizing read/write             read/write logic and the memory cell itself and the
access time, minimizing leakage current, reducing        switching for the transistor logic is controlled by
bit-line swing to reduce power consumption. A            word lines [6].
detailed comparison of 6T bitcell shows that the
ST based bitcell can operate at lower supply
voltages. Measurement results in 130-nm CMOS
technology show that the proposed ST based
bitcell gives 1.6 higher read static noise margin
and 180mV lower read Vmin compared to the 6T
bitcell.

Keywords - Low power SRAM, low voltage SRAM,
Read stability, Schmitt trigger.

1. INTRODUCTION
          The power requirement for battery operated
devices such as cell phones and medical devices is
even more stringent with the scaling of the device
dimensions. Reducing the supply voltage reduces the
dynamic power quadratically and leakage power
linearly [1]. Hence, supply voltage scaling has
remained the major focus of low-power design. This        Figure 1: 6T SRAM cell
has resulted in circuits operating at a supply voltage
lower than the threshold voltage of a transistor [2].    The proposed Schmitt trigger based differential 10-
However, the reduction in supply voltage may lead to     transistor SRAM bitcell have built-in feedback
increased memory failures such as read-failure, hold     mechanism. It requires no architectural change
failure and write-failure [3], [4].                      compared to the 6T cell architecture. It can be used as
    For a stable SRAM bitcell operating at lower         a drop-in replacement for present 6T based designs.
supply voltages, the stability of the inverter pair      With respect to 6T cell, the proposed Schmitt trigger
should be improved. None of the aforementioned           based bitcell gives better read stability, better write-
                                                         ability, lower read failure probability, low-


                                                                                                 876 | P a g e
Ujwal Shirode, Ajay Gadhe / International Journal of Engineering Research and Applications
                    (IJERA)            ISSN: 2248-9622    www.ijera.com
                     Vol. 3, Issue 1, January -February 2013, pp.876-879

voltage/low power operation, and improved data            of input transition. During a read operation (with say
retention capability at ultralow voltage.                 VL=”0” and VR= VDD), due to voltage divider
                                                          action between the access transistor and the pull-
3. SCHMITT TRIGEER                                        down NMOS, the voltage of VL node rises. If this
          The proposed ST based 10-transistor SRAM        voltage is higher than the switching threshold (trip
cell focuses on making the basic inverter pair of the     point) of the other inverter, the contents of the cell
memory cell robust. At very low voltages, the cross-      can be flipped, resulting in a read failure event [8].
coupled inverter pair stability is of concern. To
improve the inverter characteristics, Schmitt trigger
configuration is used. A Schmitt trigger increases or
decreases the switching threshold of an inverter
depending on the direction of the input transition [7].
This adaptation is achieved with the help of a
feedback mechanism.
    One possible implementation of a Schmitt trigger
is shown in Fig. 2.This structure is used to form the
inverter of our memory bitcell. The basic Schmitt
trigger requires six transistors instead of two
transistors to form an inverter. Thus, it would need 14
transistors in total to form an SRAM cell, which
would result in large area penalty. Since PMOS
transistors are used as weak pull-ups to hold the “1”
state, a feedback mechanism in the PMOS pull-up
branch is not used. Feedback mechanism is used only
in the pull-down path. The modified Schmitt trigger
schematic is shown in Fig. 2.


                                                          Figure 3: schematic for the ST based SRAM bitcell

                                                             In order to avoid a read failure, the feedback
                                                          mechanism should increase the switching threshold
                                                          of the inverter PR-NR1-NR2. Transistors NFR and
                                                          NR2 raise the voltage at node VNR and increase the
                                                          switching threshold of the inverter storing “1”. Thus,
                                                          Schmitt trigger action is used to preserve the logic
                                                          “1” state of the memory cell [9].
                                                             The proposed ST based SRAM bitcell utilizes
                                                          differential operation, giving better noise immunity
                                                          [7]. It requires no architectural change compared to
                                                          the conventional 6T cell architecture and hence can
                                                          be used as a drop-in replacement for the present 6T
                                                          based designs.

                                                          5. SIMULATION RESULTS
                                                                    HSPICE simulations are done using 130nm
                                                          logic process technology. Typical NMOS (PMOS)
Figure 2: Modified Schmitt- trigger used to form the
                                                          threshold voltage is 300 mV. The 6T and the
inverter of memory bitcell.
                                                          proposed ST based bitcells are compared for various
                                                          SRAM metrics. For the 6T SRAM bitcell, the
4. SCHMITT TRIGEER BASED SRAM                             transistor    widths      WPU/WAX/WPD             are
BITCELL                                                   160nm/240nm/320nm, respectively. For the ST based
         The complete schematic for the proposed ST       SRAM bitcell, extra transistors NFL/NL2 are of
based SRAM bitcell is as shown in Fig. 3. Transistors     minimum width 160 nm while the other transistors
PL-NL1-NL2-NFL forms one ST inverter while PR-            have the same dimensions as those of the 6T cell.
NR1-NR2-NFR forms another ST inverter. AXL and
AXR are the access transistors. The positive feedback     5.1 Read Stability
from NFL/NFR adaptively changes the switching             For improving the cell stability, the proposed ST
threshold of the inverter depending on the direction      based SRAM bitcell focuses on making the inverter

                                                                                                 877 | P a g e
Ujwal Shirode, Ajay Gadhe / International Journal of Engineering Research and Applications
                    (IJERA)            ISSN: 2248-9622    www.ijera.com
                     Vol. 3, Issue 1, January -February 2013, pp.876-879

pair robust. Feedback transistors NFL/NFR increase        Table 1: Read SNM for 6T and ST cell at different
the inverter switching threshold whenever the node                        supply voltages
storing “1” is discharged to the “0” state. Thus, cell
asymmetry changes based on the direction of the
node voltage transition. When node VL is increased       Supply voltage       6T cell read       ST cell read
from “0” to VDD, the other node (VR) makes a                  (V)             SNM (mV)           SNM (mV)
transition from VDD to “0”. During this time, the
feedback mechanism due to NFR-NR2 raises the                    1.2                70                 65
node voltage VNR and tries to maintain the logic “1”
state of the VR node. This gives near-ideal inverter
characteristics essential for robust memory cell                 1                 65                 60
operation. The static noise margin (SNM) is
estimated graphically as the length of a side of the            0.8                55                 40
largest square that can be embedded inside the lobes
of the butterfly curve [10].                                    0.6                45                 35
   The read static noise margin of 6T and ST based
SRAM bitcell for different supply voltages is                   0.4                42                 28
mentioned in Table 1. Simulation result shows that
the read SNM is improved in ST based bitcell than
6T bitcell.                                                     0.3           Read Failure            26

                                                               0.22           Read Failure            26


                        ST cell
                                                         5.2 Read failure probability
                          6T cell                        Supply voltage is reduced gradually from the nominal
                                                         value of 1.2V to the point where memory cell
                                                         contents are about to flip or reach a metastable point.
                                                         It is observed that, the ST based bitcell operates at a
                                                         lower voltage than the conventional 6T cell. Due to
                                                         reduced VDD, the ST based bitcell consumes lower
                                                         leakage power compared to the 6T cell despite four
                                                         extra transistors. As the access transistor size in the
                                                         ST based bitcell is the same as the 6T cell, the bit-
       VDD=400mV                                         line diffusion capacitance and word-line gate
                                                         capacitance is unchanged. This reduces read/write
                                                         dynamic power dissipation quadratically (CLV2 DDf)
                                                         with reduced VDD. Note that the difference in
                                                         minimum VDD increases as the read failure
                                                         probability decreases. When butterfly curve is not
                                                         valid, the read operation is failed. Simulation results
Figure 4: Read SNM curve for 6T and ST based             show that the 6T bitcell and ST based bitcell fails in
bitcell at lower supply voltage (VDD=400mV)              read operation below 400mV and 220mV
                                                         respectively shown in Fig. 5.
   The minimum sized ST based bitcell gives 1.52             It is observed that the proposed ST based bitcell
percent larger (improved) in read SNM over the 6T        operates at 180 mV lower supply voltage than the 6T
cell (VDD=400 mV), shown in Fig. 4. This shows           cell at supply voltage VDD=400mV.As technology
that for a stable SRAM cell operating at a lower         scales, with increased process variations, the memory
supply voltage, a feedback mechanism can be more         cell failure probability would worsen at lower supply
effective than simple transistor upsizing as in a        voltages. In such a scenario, the proposed ST based
conventional 6T cell.                                    bitcell with built-in feedback mechanism could be
                                                         useful for low VDD operation.
                          ..




                                                                                                878 | P a g e
Ujwal Shirode, Ajay Gadhe / International Journal of Engineering Research and Applications
                    (IJERA)            ISSN: 2248-9622    www.ijera.com
                     Vol. 3, Issue 1, January -February 2013, pp.876-879

                                                         REFERENCES
                                                         [1]  H. Soeleman and K. Roy, Ultra-low power
                                                              digital subthreshold logic circuits, Proc. Int.
                            6T cell                           Symp. Low Power Electronics and Design
                          VDD = 0.3mV                         (ISLPED’98), 1998, 94–96.
                                                         [2] K. Roy and S. Prasad, Low Power CMOS VLSI
                                                              Circuit Design (New York: Wiley, 2000).
                                                         [3] N.Yoshinobu, H. Masahi, K. Takayuki and K.
                                                              Itoh, Reviewand, future prospects of low-
                                                              voltage RAM circuits, IBM J. Res. Devel., vol.
                                                              47, no. 5/6, 2003 525–552.
        ST cell                                          [4] A.Raychowdhury, S.Mukhopadhyay, and K.
      VDD = 0.21mV                                            Roy, A feasibility study of subthreshold SRAM
                                                              across technology generations, in Proc. Int.
                                                              Conf. Computer Design, Oct. 2005, 417–422.
                                                         [5] J. Chen, L. Clark, and T. Chen, An ultra-low-
                                                              power memory with a subthreshold power
                                                              supply voltage, IEEE J. Solid-State Circuits,
                                                              vol. 41, no. 10, Oct. 2006 2344–2353.
                                                         [6] A. Bhavnagarwala, X. Tang, and J. Meindl, The
                                                              impact of intrinsic device fluctuations on
Figure 5: Read SNM failure comparison of 6T bitcell           CMOS SRAM cell stability, IEEE J. Solid-State
and ST based bitcell at lower supply voltage                  Circuits, vol. 36, no. 4, Apr. 2000, 658–665.
(VDD=400mV)                                              [7] J. Rabaey, A. Chandrakasan, and B. Nikolic,
                                                              Digital Integrated Circuits: A Design
6. CONCLUSION                                                 Perspective (2nd ed. Englewood Cliffs, NJ:
          The proposed Schmitt trigger based                  Prentice Hall, 2002.)
differential, robust, 10-transistor SRAM bitcell         [8] S. Mukhopadhyay, H. Mahmoodi, and K. Roy,
suitable for subthreshold operation. The proposed ST          Modeling of failure probability and statistical
based bitcell achieves higher read SNM (1.56%                 design of SRAM array for yield enhancement in
larger) compared to the conventional 6T cell                  nanoscaled CMOS, IEEE Trans. Comput. Aided
(VDD=400mV). It incorporates differential operation           Des, vol. 24, no.12, Dec. 2005 1859–1880.
and hence it does not require any architectural          [9] Jaydeep P. Kulkarn, Keejong Kim, and Kaushik
changes from the present 6T architecture. At iso area         Roy, A 160 mV Robust Schmitt Trigger Based
read-failure probability, the proposed ST based               Subthreshold SRAM IEEE Journal Of Solid-
bitcell operates 180mV lower VDD than the 6T                  State Circuits, Vol. 42, No. 10, October 2007
bitcell.                                                 [10] E. Seevinck, F. List, and J. Lohstroh, Static
   Simulation results shows that, the proposed ST             noise margin analysis of MOS SRAM cells,
based SRAM bitcell gives more stability in read               IEEE Solid-State Circuits, vol. SC-22, no. 5,
operation with reduced power supply voltage and               Oct. 1987, 748–754.
correspondingly the read failure probability also
decreases as compare to conventional 6T SRAM
bitcell.
   Lowering the supply voltage is an effective way to
achieve ultra-low-power operation. In this work, we
evaluated ST based SRAM bitcells suitable for ultra-
low voltage applications. The built-in feedback
mechanism in the proposed ST based bitcell can be
effective for process-tolerant, low-voltage SRAM
operation in future nano scaled technologies.
Simulation results show that the ST based bitcell can
retain the data at low supply voltage (150 mV).

ACKNOLEDGMENT
         The authors are highly thankful to Dr.
D.K.Gautam, head of the department of electronics,
North Maharashtra University, for their regular
support. The authors are again grateful to the faculty
of Department of Electronics for their moral support.

                                                                                              879 | P a g e

Weitere ähnliche Inhalte

Was ist angesagt?

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
デザインキット・DCモータ制御回路の解説書
デザインキット・DCモータ制御回路の解説書デザインキット・DCモータ制御回路の解説書
デザインキット・DCモータ制御回路の解説書Tsuyoshi Horigome
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
Essential Guide Power Supply & Transformers 2010
Essential Guide Power Supply & Transformers 2010Essential Guide Power Supply & Transformers 2010
Essential Guide Power Supply & Transformers 2010Gilbert Brault
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 
デザインキット・DCモータ制御回路のインデックス
デザインキット・DCモータ制御回路のインデックスデザインキット・DCモータ制御回路のインデックス
デザインキット・DCモータ制御回路のインデックスTsuyoshi Horigome
 
A presentation on MRAM
A presentation on MRAMA presentation on MRAM
A presentation on MRAMMohammed Iqbal
 
Analyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAMAnalyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAMIOSRJVSP
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2 2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2 Dr. Shivananda Koteshwar
 
emerging memory technologies Document
emerging memory technologies Documentemerging memory technologies Document
emerging memory technologies DocumentVidya Baddam
 
Interturn short circuit analysis in an induction machine by fem
Interturn short circuit analysis in an induction machine by femInterturn short circuit analysis in an induction machine by fem
Interturn short circuit analysis in an induction machine by femDarío Díaz
 

Was ist angesagt? (17)

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
デザインキット・DCモータ制御回路の解説書
デザインキット・DCモータ制御回路の解説書デザインキット・DCモータ制御回路の解説書
デザインキット・DCモータ制御回路の解説書
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
Essential Guide Power Supply & Transformers 2010
Essential Guide Power Supply & Transformers 2010Essential Guide Power Supply & Transformers 2010
Essential Guide Power Supply & Transformers 2010
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
デザインキット・DCモータ制御回路のインデックス
デザインキット・DCモータ制御回路のインデックスデザインキット・DCモータ制御回路のインデックス
デザインキット・DCモータ制御回路のインデックス
 
Seminar stt ram
Seminar stt ramSeminar stt ram
Seminar stt ram
 
A presentation on MRAM
A presentation on MRAMA presentation on MRAM
A presentation on MRAM
 
Analyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAMAnalyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAM
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2 2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2
 
Low power sram
Low power sramLow power sram
Low power sram
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
emerging memory technologies Document
emerging memory technologies Documentemerging memory technologies Document
emerging memory technologies Document
 
Interturn short circuit analysis in an induction machine by fem
Interturn short circuit analysis in an induction machine by femInterturn short circuit analysis in an induction machine by fem
Interturn short circuit analysis in an induction machine by fem
 

Andere mochten auch

Andere mochten auch (20)

Cq31612617
Cq31612617Cq31612617
Cq31612617
 
Az31349353
Az31349353Az31349353
Az31349353
 
Cq31612617
Cq31612617Cq31612617
Cq31612617
 
Co31601607
Co31601607Co31601607
Co31601607
 
Ad31208224
Ad31208224Ad31208224
Ad31208224
 
Conocimientos basicos
Conocimientos basicosConocimientos basicos
Conocimientos basicos
 
Como conhecer as escrituras
Como conhecer as escriturasComo conhecer as escrituras
Como conhecer as escrituras
 
Practica de word
Practica de wordPractica de word
Practica de word
 
Gaivota 161 - novembro e dezembro de 2010
Gaivota 161 - novembro e dezembro de 2010Gaivota 161 - novembro e dezembro de 2010
Gaivota 161 - novembro e dezembro de 2010
 
Artigo bercht
Artigo berchtArtigo bercht
Artigo bercht
 
Adolescencia
AdolescenciaAdolescencia
Adolescencia
 
6th semester Civil Engineering (2009 - June - Juli) Question Papers
6th semester Civil Engineering (2009 - June - Juli) Question Papers6th semester Civil Engineering (2009 - June - Juli) Question Papers
6th semester Civil Engineering (2009 - June - Juli) Question Papers
 
Prueba de ensayo (introduccion a la filosofìa)
Prueba de ensayo (introduccion a la filosofìa)Prueba de ensayo (introduccion a la filosofìa)
Prueba de ensayo (introduccion a la filosofìa)
 
Edital Ufpa 2012
Edital Ufpa 2012Edital Ufpa 2012
Edital Ufpa 2012
 
Dicionário amizade
Dicionário amizadeDicionário amizade
Dicionário amizade
 
Camera shot
Camera shotCamera shot
Camera shot
 
Indiana situacion-actual-adolescentes-marzo-2013
Indiana situacion-actual-adolescentes-marzo-2013Indiana situacion-actual-adolescentes-marzo-2013
Indiana situacion-actual-adolescentes-marzo-2013
 
Noticias Recentes
Noticias Recentes Noticias Recentes
Noticias Recentes
 
Carlos geovanny morales encalada
Carlos geovanny morales encaladaCarlos geovanny morales encalada
Carlos geovanny morales encalada
 
Stem cells preservation
Stem cells preservation Stem cells preservation
Stem cells preservation
 

Ähnlich wie Ef31876879

Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSEditor IJMTER
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellIRJET Journal
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET Journal
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 

Ähnlich wie Ef31876879 (20)

Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
C0211520
C0211520C0211520
C0211520
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit Cell
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 

Ef31876879

  • 1. Ujwal Shirode, Ajay Gadhe / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.876-879 Read stability and read failure analysis of low voltage Schmitt- Trigger based SRAM bitcell Ujwal Shirode*, Ajay Gadhe** * (Department of Electronics, North Maharashtra University, Jalgaon- 425001) ** (Department of Electronics, North Maharashtra University, Jalgaon- 425001) ABSTRACT We analyze Schmitt-Trigger (ST) based bitcells has a mechanism to improve the stability of differential sensing static random access memory the inverter pair under low voltage operation. The (SRAM) bitcells for ultralow voltage operation. proposed Schmitt trigger based differential bitcell The ST-based SRAM bitcells address the having built-in feedback mechanism for improve the fundamental conflicting design requirement of the stability of the inverter pair under low voltage read versus write operation of a conventional 6T operation [4]. bitcell. The ST operation gives better read- stability as well as better read-failure probability 2. SIX TRANSISTOR SRAM CELL compared to the standard 6T bitcell. The The 6-transistor (6T) cell which uses a proposed ST based bitcells incorporate a built-in cross-coupled inverter pair is the de facto memory feedback mechanism. Balancing the trade-offs bitcell used in the current SRAM designs. The between small areas, low powers, fast reads/writes conventional 6T SRAM cell design is as shown in are an essential part of any SRAM design. That is, Fig.1. 6T cell utilize differential read operation [5]. SRAM design requires balancing among various The memory cell in SRAM consists of two static design criteria such as minimizing cell area using inverters that feed into each other creating a latch. smaller transistor, maintaining read/write Access into the memory cell is controlled through stability, minimizing power consumption by access transistor logic connected between the reducing power supply, minimizing read/write read/write logic and the memory cell itself and the access time, minimizing leakage current, reducing switching for the transistor logic is controlled by bit-line swing to reduce power consumption. A word lines [6]. detailed comparison of 6T bitcell shows that the ST based bitcell can operate at lower supply voltages. Measurement results in 130-nm CMOS technology show that the proposed ST based bitcell gives 1.6 higher read static noise margin and 180mV lower read Vmin compared to the 6T bitcell. Keywords - Low power SRAM, low voltage SRAM, Read stability, Schmitt trigger. 1. INTRODUCTION The power requirement for battery operated devices such as cell phones and medical devices is even more stringent with the scaling of the device dimensions. Reducing the supply voltage reduces the dynamic power quadratically and leakage power linearly [1]. Hence, supply voltage scaling has remained the major focus of low-power design. This Figure 1: 6T SRAM cell has resulted in circuits operating at a supply voltage lower than the threshold voltage of a transistor [2]. The proposed Schmitt trigger based differential 10- However, the reduction in supply voltage may lead to transistor SRAM bitcell have built-in feedback increased memory failures such as read-failure, hold mechanism. It requires no architectural change failure and write-failure [3], [4]. compared to the 6T cell architecture. It can be used as For a stable SRAM bitcell operating at lower a drop-in replacement for present 6T based designs. supply voltages, the stability of the inverter pair With respect to 6T cell, the proposed Schmitt trigger should be improved. None of the aforementioned based bitcell gives better read stability, better write- ability, lower read failure probability, low- 876 | P a g e
  • 2. Ujwal Shirode, Ajay Gadhe / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.876-879 voltage/low power operation, and improved data of input transition. During a read operation (with say retention capability at ultralow voltage. VL=”0” and VR= VDD), due to voltage divider action between the access transistor and the pull- 3. SCHMITT TRIGEER down NMOS, the voltage of VL node rises. If this The proposed ST based 10-transistor SRAM voltage is higher than the switching threshold (trip cell focuses on making the basic inverter pair of the point) of the other inverter, the contents of the cell memory cell robust. At very low voltages, the cross- can be flipped, resulting in a read failure event [8]. coupled inverter pair stability is of concern. To improve the inverter characteristics, Schmitt trigger configuration is used. A Schmitt trigger increases or decreases the switching threshold of an inverter depending on the direction of the input transition [7]. This adaptation is achieved with the help of a feedback mechanism. One possible implementation of a Schmitt trigger is shown in Fig. 2.This structure is used to form the inverter of our memory bitcell. The basic Schmitt trigger requires six transistors instead of two transistors to form an inverter. Thus, it would need 14 transistors in total to form an SRAM cell, which would result in large area penalty. Since PMOS transistors are used as weak pull-ups to hold the “1” state, a feedback mechanism in the PMOS pull-up branch is not used. Feedback mechanism is used only in the pull-down path. The modified Schmitt trigger schematic is shown in Fig. 2. Figure 3: schematic for the ST based SRAM bitcell In order to avoid a read failure, the feedback mechanism should increase the switching threshold of the inverter PR-NR1-NR2. Transistors NFR and NR2 raise the voltage at node VNR and increase the switching threshold of the inverter storing “1”. Thus, Schmitt trigger action is used to preserve the logic “1” state of the memory cell [9]. The proposed ST based SRAM bitcell utilizes differential operation, giving better noise immunity [7]. It requires no architectural change compared to the conventional 6T cell architecture and hence can be used as a drop-in replacement for the present 6T based designs. 5. SIMULATION RESULTS HSPICE simulations are done using 130nm logic process technology. Typical NMOS (PMOS) Figure 2: Modified Schmitt- trigger used to form the threshold voltage is 300 mV. The 6T and the inverter of memory bitcell. proposed ST based bitcells are compared for various SRAM metrics. For the 6T SRAM bitcell, the 4. SCHMITT TRIGEER BASED SRAM transistor widths WPU/WAX/WPD are BITCELL 160nm/240nm/320nm, respectively. For the ST based The complete schematic for the proposed ST SRAM bitcell, extra transistors NFL/NL2 are of based SRAM bitcell is as shown in Fig. 3. Transistors minimum width 160 nm while the other transistors PL-NL1-NL2-NFL forms one ST inverter while PR- have the same dimensions as those of the 6T cell. NR1-NR2-NFR forms another ST inverter. AXL and AXR are the access transistors. The positive feedback 5.1 Read Stability from NFL/NFR adaptively changes the switching For improving the cell stability, the proposed ST threshold of the inverter depending on the direction based SRAM bitcell focuses on making the inverter 877 | P a g e
  • 3. Ujwal Shirode, Ajay Gadhe / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.876-879 pair robust. Feedback transistors NFL/NFR increase Table 1: Read SNM for 6T and ST cell at different the inverter switching threshold whenever the node supply voltages storing “1” is discharged to the “0” state. Thus, cell asymmetry changes based on the direction of the node voltage transition. When node VL is increased Supply voltage 6T cell read ST cell read from “0” to VDD, the other node (VR) makes a (V) SNM (mV) SNM (mV) transition from VDD to “0”. During this time, the feedback mechanism due to NFR-NR2 raises the 1.2 70 65 node voltage VNR and tries to maintain the logic “1” state of the VR node. This gives near-ideal inverter characteristics essential for robust memory cell 1 65 60 operation. The static noise margin (SNM) is estimated graphically as the length of a side of the 0.8 55 40 largest square that can be embedded inside the lobes of the butterfly curve [10]. 0.6 45 35 The read static noise margin of 6T and ST based SRAM bitcell for different supply voltages is 0.4 42 28 mentioned in Table 1. Simulation result shows that the read SNM is improved in ST based bitcell than 6T bitcell. 0.3 Read Failure 26 0.22 Read Failure 26 ST cell 5.2 Read failure probability 6T cell Supply voltage is reduced gradually from the nominal value of 1.2V to the point where memory cell contents are about to flip or reach a metastable point. It is observed that, the ST based bitcell operates at a lower voltage than the conventional 6T cell. Due to reduced VDD, the ST based bitcell consumes lower leakage power compared to the 6T cell despite four extra transistors. As the access transistor size in the ST based bitcell is the same as the 6T cell, the bit- VDD=400mV line diffusion capacitance and word-line gate capacitance is unchanged. This reduces read/write dynamic power dissipation quadratically (CLV2 DDf) with reduced VDD. Note that the difference in minimum VDD increases as the read failure probability decreases. When butterfly curve is not valid, the read operation is failed. Simulation results Figure 4: Read SNM curve for 6T and ST based show that the 6T bitcell and ST based bitcell fails in bitcell at lower supply voltage (VDD=400mV) read operation below 400mV and 220mV respectively shown in Fig. 5. The minimum sized ST based bitcell gives 1.52 It is observed that the proposed ST based bitcell percent larger (improved) in read SNM over the 6T operates at 180 mV lower supply voltage than the 6T cell (VDD=400 mV), shown in Fig. 4. This shows cell at supply voltage VDD=400mV.As technology that for a stable SRAM cell operating at a lower scales, with increased process variations, the memory supply voltage, a feedback mechanism can be more cell failure probability would worsen at lower supply effective than simple transistor upsizing as in a voltages. In such a scenario, the proposed ST based conventional 6T cell. bitcell with built-in feedback mechanism could be useful for low VDD operation. .. 878 | P a g e
  • 4. Ujwal Shirode, Ajay Gadhe / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.876-879 REFERENCES [1] H. Soeleman and K. Roy, Ultra-low power digital subthreshold logic circuits, Proc. Int. 6T cell Symp. Low Power Electronics and Design VDD = 0.3mV (ISLPED’98), 1998, 94–96. [2] K. Roy and S. Prasad, Low Power CMOS VLSI Circuit Design (New York: Wiley, 2000). [3] N.Yoshinobu, H. Masahi, K. Takayuki and K. Itoh, Reviewand, future prospects of low- voltage RAM circuits, IBM J. Res. Devel., vol. 47, no. 5/6, 2003 525–552. ST cell [4] A.Raychowdhury, S.Mukhopadhyay, and K. VDD = 0.21mV Roy, A feasibility study of subthreshold SRAM across technology generations, in Proc. Int. Conf. Computer Design, Oct. 2005, 417–422. [5] J. Chen, L. Clark, and T. Chen, An ultra-low- power memory with a subthreshold power supply voltage, IEEE J. Solid-State Circuits, vol. 41, no. 10, Oct. 2006 2344–2353. [6] A. Bhavnagarwala, X. Tang, and J. Meindl, The impact of intrinsic device fluctuations on Figure 5: Read SNM failure comparison of 6T bitcell CMOS SRAM cell stability, IEEE J. Solid-State and ST based bitcell at lower supply voltage Circuits, vol. 36, no. 4, Apr. 2000, 658–665. (VDD=400mV) [7] J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits: A Design 6. CONCLUSION Perspective (2nd ed. Englewood Cliffs, NJ: The proposed Schmitt trigger based Prentice Hall, 2002.) differential, robust, 10-transistor SRAM bitcell [8] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, suitable for subthreshold operation. The proposed ST Modeling of failure probability and statistical based bitcell achieves higher read SNM (1.56% design of SRAM array for yield enhancement in larger) compared to the conventional 6T cell nanoscaled CMOS, IEEE Trans. Comput. Aided (VDD=400mV). It incorporates differential operation Des, vol. 24, no.12, Dec. 2005 1859–1880. and hence it does not require any architectural [9] Jaydeep P. Kulkarn, Keejong Kim, and Kaushik changes from the present 6T architecture. At iso area Roy, A 160 mV Robust Schmitt Trigger Based read-failure probability, the proposed ST based Subthreshold SRAM IEEE Journal Of Solid- bitcell operates 180mV lower VDD than the 6T State Circuits, Vol. 42, No. 10, October 2007 bitcell. [10] E. Seevinck, F. List, and J. Lohstroh, Static Simulation results shows that, the proposed ST noise margin analysis of MOS SRAM cells, based SRAM bitcell gives more stability in read IEEE Solid-State Circuits, vol. SC-22, no. 5, operation with reduced power supply voltage and Oct. 1987, 748–754. correspondingly the read failure probability also decreases as compare to conventional 6T SRAM bitcell. Lowering the supply voltage is an effective way to achieve ultra-low-power operation. In this work, we evaluated ST based SRAM bitcells suitable for ultra- low voltage applications. The built-in feedback mechanism in the proposed ST based bitcell can be effective for process-tolerant, low-voltage SRAM operation in future nano scaled technologies. Simulation results show that the ST based bitcell can retain the data at low supply voltage (150 mV). ACKNOLEDGMENT The authors are highly thankful to Dr. D.K.Gautam, head of the department of electronics, North Maharashtra University, for their regular support. The authors are again grateful to the faculty of Department of Electronics for their moral support. 879 | P a g e