SlideShare ist ein Scribd-Unternehmen logo
1 von 13
Downloaden Sie, um offline zu lesen
Contents:
 Introduction
 VHDL structural Modelling Programs –Full Adder, SR Flip
flop, JK Flip flop & D flip flops
Kongunadu College of Engineering & Technology Structural Modelling 1
Structural Modelling
 In structural design, a VHDL and a Verilog uses components or
gates to model the system.
 The important features of VHDL structural type of architecture
body are:
 Design hierarchy
 Components are used
 Each component is simulated separately.
 In the structural modeling, an entity is described as a set of
components connected by signals, that is, as a net list.
 The architecture body is composed of two parts: the declarative
part and the statement parts.
Kongunadu College of Engineering & Technology Structural Modelling 2
Contd…
Full adder is taken as an example,
Kongunadu College of Engineering & Technology Structural Modelling 3
Program
Library ieee;
Use ieee.std_logic_1164.all;
Entity full_add is
port(A,B,Cin :in bit;
Sum,Cout :out bit);
end full_add;
architecture adder of full_add is
component xor3
port(I1,I2,I3:in bit;
O1:out bit);
end component;
component and2
port(I1,I2:in bit;
O1:out bit);
end component;
Kongunadu College of Engineering & Technology Structural Modelling 4
Contd…
component or3
port(I1,I2,I3:in bit;
O1:out bit);
end component;
signal S1,S2,S3:bit
begin
Y1:xor3 port map (A, B, Cin, Sum);
X1:and2 port map (A, B, S1);
X2:and2 port map (A, Cin, S2);
X3:and2 port map (B, Cin, S3);
Y2:or3 port map (S1,S2,S3,Cout);
end adder;
Kongunadu College of Engineering & Technology Structural Modelling 5
Structural description of pulse triggered SR
Flip-flop
Kongunadu College of Engineering & Technology Structural Modelling 6
Program
Library ieee;
Use ieee.std_logic_1164.all;
Entity SR_FF is
port(S,R,CP:in std_logic;
Q,Qbar:buffer std_logic);
end SR_FF;
architecture FF of SR_FF is
component nand2
port(I1,I2:in std_logic;
O1:out std_logic);
end component;
for all: nand2 use entity work.two input(nand2_7);
signal S1,R1: std_logic;
begin
NA1:nand2 port map (S1,Qbar,Q);
NA2: nand2 port map (Q ,R1,Qbar);
NA3: nand2 port map (S ,CP,S1);
NA4: nand2 port map (R ,CP,R1);
end FF;
Kongunadu College of Engineering & Technology Structural Modelling 7
Structural description of pulse triggered D
Flip-flop
Library ieee;
Use ieee.std_logic_1164.all;
Entity D_FF is
port(D,CP:in std_logic;
Q,Qbar:buffer std_logic);
end D_FF;
architecture FF of D_FF is
component nand2
port(I1,I2:in std_logic;
O1:out std_logic);
end component;
Kongunadu College of Engineering & Technology Structural Modelling 8
for all: nand2 use entity work.two input(nand2_7);
signal S1,R,R1: std_logic;
begin
NA1:nand2 port map (D,CP,S1);
NA2: nand2 port map (R,CP,R1);
NA3: nand2 port map (D,D,R);
NA4: nand2 port map (S1,Qbar,Q);
NA5: nand2 port map (Q,R1,Qbar);
end FF;
Contd….
Kongunadu College of Engineering & Technology Structural Modelling 9
Structural description of pulse triggered JK Flip-
flop
Kongunadu College of Engineering & Technology Structural Modelling 10
Program
Library ieee;
Use ieee.std_logic_1164.all;
Entity JK_FF is
port(J,K,CP:in std_logic;
Q,Qbar:buffer std_logic);
end JK_FF;
architecture FF of JK_FF is
component nor2
port(I1,I2:in std_logic;
O1:out std_logic);
end component;
component and3
port(I1,I2,I3:in std_logic;
O1:out std_logic);
end component;Kongunadu College of Engineering & Technology Structural Modelling 11
Contd…
for all: nor2 use entity work.two input(nor2_7);
for all: and3 use entity work.three input(and3_7);
signal R,S
begin
N1:nor2 port map (S,Q,Qbar);
N2: nor2 port map (R,Qbar,Q);
A1: and3 port map (Q,K,CP,R);
A2: and3 port map (Qbar,J,CP,S);
end FF;
Kongunadu College of Engineering & Technology Structural Modelling 12
Conclusion:
The basics of structural modelling is explained in detail with examples.
References:
1. Mandal, “Digital Electronics Principles & Application, McGraw Hill Edu, 2013.
2. William Keitz, Digital Electronics-A Practical Approach with VHDL, Pearson, 2013.
3. Thomas L.Floyd, ‘Digital Fundamentals’, 11th edition, Pearson Education, 2015.
4. Charles H.Roth, Jr, Lizy Lizy Kurian John, ‘Digital System Design using VHDL,
Cengage,2013.
5. D.P.Kothari,J.S.Dhillon, ‘Digital circuits and Design’,Pearson Education, 2016.
6. A.P.Godse., Dr.D.A.Godse, ‘Digital Logic Circuits’ , Technical Publications Third Edition
2016
7. Other Web Sources
Kongunadu College of Engineering & Technology Structural Modelling 13

Weitere ähnliche Inhalte

Was ist angesagt?

UNIT-I DIGITAL SYSTEM DESIGN
UNIT-I DIGITAL SYSTEM DESIGN UNIT-I DIGITAL SYSTEM DESIGN
UNIT-I DIGITAL SYSTEM DESIGN Dr.YNM
 
Fsm sequence detector
Fsm sequence detector Fsm sequence detector
Fsm sequence detector lpvasam
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notesDr.YNM
 
Embedded C programming based on 8051 microcontroller
Embedded C programming based on 8051 microcontrollerEmbedded C programming based on 8051 microcontroller
Embedded C programming based on 8051 microcontrollerGaurav Verma
 
Embedded systems ppt ii
Embedded systems ppt iiEmbedded systems ppt ii
Embedded systems ppt iianishgoel
 
DOMINO LOGIC CIRCUIT (VLSI)
DOMINO LOGIC CIRCUIT (VLSI)DOMINO LOGIC CIRCUIT (VLSI)
DOMINO LOGIC CIRCUIT (VLSI)AmiBokasoda
 
Interrupts of 8085
Interrupts of 8085Interrupts of 8085
Interrupts of 8085ShivamSood22
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital icsaroosa khan
 
VLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVishal kakade
 
Verilog operators.pptx
Verilog  operators.pptxVerilog  operators.pptx
Verilog operators.pptxVandanaPagar1
 
Field Programmable Gate Array: Building Blocks and Interconnections
Field Programmable Gate Array: Building Blocks and InterconnectionsField Programmable Gate Array: Building Blocks and Interconnections
Field Programmable Gate Array: Building Blocks and InterconnectionsDr. Saravanakumar Umathurai
 

Was ist angesagt? (20)

UNIT-I DIGITAL SYSTEM DESIGN
UNIT-I DIGITAL SYSTEM DESIGN UNIT-I DIGITAL SYSTEM DESIGN
UNIT-I DIGITAL SYSTEM DESIGN
 
Fsm sequence detector
Fsm sequence detector Fsm sequence detector
Fsm sequence detector
 
MOSFET....complete PPT
MOSFET....complete PPTMOSFET....complete PPT
MOSFET....complete PPT
 
8 bit alu design
8 bit alu design8 bit alu design
8 bit alu design
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Embedded C programming based on 8051 microcontroller
Embedded C programming based on 8051 microcontrollerEmbedded C programming based on 8051 microcontroller
Embedded C programming based on 8051 microcontroller
 
Embedded systems ppt ii
Embedded systems ppt iiEmbedded systems ppt ii
Embedded systems ppt ii
 
DOMINO LOGIC CIRCUIT (VLSI)
DOMINO LOGIC CIRCUIT (VLSI)DOMINO LOGIC CIRCUIT (VLSI)
DOMINO LOGIC CIRCUIT (VLSI)
 
8051 timer counter
8051 timer counter8051 timer counter
8051 timer counter
 
Cpld fpga
Cpld fpgaCpld fpga
Cpld fpga
 
Interrupts of 8085
Interrupts of 8085Interrupts of 8085
Interrupts of 8085
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
Counters
CountersCounters
Counters
 
Sr Latch or Flip Flop
Sr Latch or Flip FlopSr Latch or Flip Flop
Sr Latch or Flip Flop
 
FPGA
FPGAFPGA
FPGA
 
Interrupt
InterruptInterrupt
Interrupt
 
Basics Counters
Basics Counters Basics Counters
Basics Counters
 
VLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVLSI subsystem design processes and illustration
VLSI subsystem design processes and illustration
 
Verilog operators.pptx
Verilog  operators.pptxVerilog  operators.pptx
Verilog operators.pptx
 
Field Programmable Gate Array: Building Blocks and Interconnections
Field Programmable Gate Array: Building Blocks and InterconnectionsField Programmable Gate Array: Building Blocks and Interconnections
Field Programmable Gate Array: Building Blocks and Interconnections
 

Ähnlich wie Structural modelling

Write complete VHDL codes for the following schematic. Solution.pdf
Write complete VHDL codes for the following schematic.  Solution.pdfWrite complete VHDL codes for the following schematic.  Solution.pdf
Write complete VHDL codes for the following schematic. Solution.pdfarjuncollection
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLRevathi Subramaniam
 
Digital Electronics .
Digital Electronics                                              .Digital Electronics                                              .
Digital Electronics .inian2
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitNikhil Sahu
 
Practical file
Practical filePractical file
Practical filerajeevkr35
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manualSanthosh Poralu
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDLYaser Kalifa
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxiconicyt2
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdlSai Malleswar
 
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix NepalFPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix NepalKrishna Gaihre
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairloyad20119
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)HoneyKumar34
 
Basic Coding In VHDL COding
Basic Coding In VHDL COdingBasic Coding In VHDL COding
Basic Coding In VHDL COdinganna university
 

Ähnlich wie Structural modelling (20)

Write complete VHDL codes for the following schematic. Solution.pdf
Write complete VHDL codes for the following schematic.  Solution.pdfWrite complete VHDL codes for the following schematic.  Solution.pdf
Write complete VHDL codes for the following schematic. Solution.pdf
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 
Ddhdl 15
Ddhdl 15Ddhdl 15
Ddhdl 15
 
Digital Electronics .
Digital Electronics                                              .Digital Electronics                                              .
Digital Electronics .
 
VHDL Programs
VHDL ProgramsVHDL Programs
VHDL Programs
 
Basics of Vhdl
Basics of VhdlBasics of Vhdl
Basics of Vhdl
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unit
 
Practical file
Practical filePractical file
Practical file
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manual
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdl
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix NepalFPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Basic Coding In VHDL COding
Basic Coding In VHDL COdingBasic Coding In VHDL COding
Basic Coding In VHDL COding
 
Fpga 1
Fpga 1Fpga 1
Fpga 1
 

Mehr von Revathi Subramaniam

Inter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolInter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolRevathi Subramaniam
 
Introduction to power system analysis
Introduction to power system analysisIntroduction to power system analysis
Introduction to power system analysisRevathi Subramaniam
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Revathi Subramaniam
 
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Revathi Subramaniam
 

Mehr von Revathi Subramaniam (20)

Introduction to embedded system
Introduction to embedded systemIntroduction to embedded system
Introduction to embedded system
 
Inter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolInter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocol
 
Application of embeddd system
Application of embeddd systemApplication of embeddd system
Application of embeddd system
 
Embedded development life cycle
Embedded development life cycleEmbedded development life cycle
Embedded development life cycle
 
Adaptive cruise control acc
Adaptive cruise control accAdaptive cruise control acc
Adaptive cruise control acc
 
Unsymmetrical fault analysis
Unsymmetrical fault analysisUnsymmetrical fault analysis
Unsymmetrical fault analysis
 
Structure of power system
Structure of power systemStructure of power system
Structure of power system
 
Restructuring
RestructuringRestructuring
Restructuring
 
Power flow analysis
Power flow analysisPower flow analysis
Power flow analysis
 
Per unit analysis
Per unit analysisPer unit analysis
Per unit analysis
 
Newton raphson method
Newton raphson methodNewton raphson method
Newton raphson method
 
Introduction to power system analysis
Introduction to power system analysisIntroduction to power system analysis
Introduction to power system analysis
 
Gauss seidel method
Gauss seidel methodGauss seidel method
Gauss seidel method
 
Fault analysis
Fault analysisFault analysis
Fault analysis
 
Fault analysis using z bus
Fault analysis using z busFault analysis using z bus
Fault analysis using z bus
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
 
Flip flops
Flip flopsFlip flops
Flip flops
 
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
 
Counters
CountersCounters
Counters
 
Digital logic families
Digital logic familiesDigital logic families
Digital logic families
 

Kürzlich hochgeladen

Online food ordering system project report.pdf
Online food ordering system project report.pdfOnline food ordering system project report.pdf
Online food ordering system project report.pdfKamal Acharya
 
Computer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersComputer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersMairaAshraf6
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . pptDineshKumar4165
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdfKamal Acharya
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxSCMS School of Architecture
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.Kamal Acharya
 
Engineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planesEngineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planesRAJNEESHKUMAR341697
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptxJIT KUMAR GUPTA
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...drmkjayanthikannan
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityMorshed Ahmed Rahath
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...Amil baba
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwaitjaanualu31
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptDineshKumar4165
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdfKamal Acharya
 

Kürzlich hochgeladen (20)

Online food ordering system project report.pdf
Online food ordering system project report.pdfOnline food ordering system project report.pdf
Online food ordering system project report.pdf
 
Computer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersComputer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to Computers
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdf
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
Engineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planesEngineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planes
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna Municipality
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 

Structural modelling

  • 1. Contents:  Introduction  VHDL structural Modelling Programs –Full Adder, SR Flip flop, JK Flip flop & D flip flops Kongunadu College of Engineering & Technology Structural Modelling 1
  • 2. Structural Modelling  In structural design, a VHDL and a Verilog uses components or gates to model the system.  The important features of VHDL structural type of architecture body are:  Design hierarchy  Components are used  Each component is simulated separately.  In the structural modeling, an entity is described as a set of components connected by signals, that is, as a net list.  The architecture body is composed of two parts: the declarative part and the statement parts. Kongunadu College of Engineering & Technology Structural Modelling 2
  • 3. Contd… Full adder is taken as an example, Kongunadu College of Engineering & Technology Structural Modelling 3
  • 4. Program Library ieee; Use ieee.std_logic_1164.all; Entity full_add is port(A,B,Cin :in bit; Sum,Cout :out bit); end full_add; architecture adder of full_add is component xor3 port(I1,I2,I3:in bit; O1:out bit); end component; component and2 port(I1,I2:in bit; O1:out bit); end component; Kongunadu College of Engineering & Technology Structural Modelling 4
  • 5. Contd… component or3 port(I1,I2,I3:in bit; O1:out bit); end component; signal S1,S2,S3:bit begin Y1:xor3 port map (A, B, Cin, Sum); X1:and2 port map (A, B, S1); X2:and2 port map (A, Cin, S2); X3:and2 port map (B, Cin, S3); Y2:or3 port map (S1,S2,S3,Cout); end adder; Kongunadu College of Engineering & Technology Structural Modelling 5
  • 6. Structural description of pulse triggered SR Flip-flop Kongunadu College of Engineering & Technology Structural Modelling 6
  • 7. Program Library ieee; Use ieee.std_logic_1164.all; Entity SR_FF is port(S,R,CP:in std_logic; Q,Qbar:buffer std_logic); end SR_FF; architecture FF of SR_FF is component nand2 port(I1,I2:in std_logic; O1:out std_logic); end component; for all: nand2 use entity work.two input(nand2_7); signal S1,R1: std_logic; begin NA1:nand2 port map (S1,Qbar,Q); NA2: nand2 port map (Q ,R1,Qbar); NA3: nand2 port map (S ,CP,S1); NA4: nand2 port map (R ,CP,R1); end FF; Kongunadu College of Engineering & Technology Structural Modelling 7
  • 8. Structural description of pulse triggered D Flip-flop Library ieee; Use ieee.std_logic_1164.all; Entity D_FF is port(D,CP:in std_logic; Q,Qbar:buffer std_logic); end D_FF; architecture FF of D_FF is component nand2 port(I1,I2:in std_logic; O1:out std_logic); end component; Kongunadu College of Engineering & Technology Structural Modelling 8
  • 9. for all: nand2 use entity work.two input(nand2_7); signal S1,R,R1: std_logic; begin NA1:nand2 port map (D,CP,S1); NA2: nand2 port map (R,CP,R1); NA3: nand2 port map (D,D,R); NA4: nand2 port map (S1,Qbar,Q); NA5: nand2 port map (Q,R1,Qbar); end FF; Contd…. Kongunadu College of Engineering & Technology Structural Modelling 9
  • 10. Structural description of pulse triggered JK Flip- flop Kongunadu College of Engineering & Technology Structural Modelling 10
  • 11. Program Library ieee; Use ieee.std_logic_1164.all; Entity JK_FF is port(J,K,CP:in std_logic; Q,Qbar:buffer std_logic); end JK_FF; architecture FF of JK_FF is component nor2 port(I1,I2:in std_logic; O1:out std_logic); end component; component and3 port(I1,I2,I3:in std_logic; O1:out std_logic); end component;Kongunadu College of Engineering & Technology Structural Modelling 11
  • 12. Contd… for all: nor2 use entity work.two input(nor2_7); for all: and3 use entity work.three input(and3_7); signal R,S begin N1:nor2 port map (S,Q,Qbar); N2: nor2 port map (R,Qbar,Q); A1: and3 port map (Q,K,CP,R); A2: and3 port map (Qbar,J,CP,S); end FF; Kongunadu College of Engineering & Technology Structural Modelling 12
  • 13. Conclusion: The basics of structural modelling is explained in detail with examples. References: 1. Mandal, “Digital Electronics Principles & Application, McGraw Hill Edu, 2013. 2. William Keitz, Digital Electronics-A Practical Approach with VHDL, Pearson, 2013. 3. Thomas L.Floyd, ‘Digital Fundamentals’, 11th edition, Pearson Education, 2015. 4. Charles H.Roth, Jr, Lizy Lizy Kurian John, ‘Digital System Design using VHDL, Cengage,2013. 5. D.P.Kothari,J.S.Dhillon, ‘Digital circuits and Design’,Pearson Education, 2016. 6. A.P.Godse., Dr.D.A.Godse, ‘Digital Logic Circuits’ , Technical Publications Third Edition 2016 7. Other Web Sources Kongunadu College of Engineering & Technology Structural Modelling 13