SlideShare ist ein Scribd-Unternehmen logo
1 von 6
Downloaden Sie, um offline zu lesen
ISSN: 2278 – 1323
                                     International Journal of Advanced Research in Computer Engineering & Technology
                                                                                          Volume 1, Issue 5, July 2012




              Implementation of Radix-4 Multiplier with a
                Parallel MAC unit using MBE Algorithm
          Bodasingi Vijay Bhaskar               Valiveti Ravi Tejesvi               Reddi Surya Prakash Rao
          bhaskar748@gmail.com                 raviteja433@yahoo.com              prakashece_48@reddifmail.com
   Abstract— A radix-4/-8 multiplier is implemented using          mode . In the majority of the input cases, the radix-8
modified booth multiplier encoder that demand high speed           architecture is as fast as radix-4 architecture while
and low energy operation. Depending on the input pattern,          consuming less power. However, in the remaining input
the multiplier operates in the radix-8 mode in 56% of the          cases, the radix-8 architecture is bottlenecked by the
input cases for low power, but reverts to the radix-4 mode in      generation of the ±3B partial product term, which requires
44% of the slower input cases for high speed. The                  an additional carry propagation adding stage. Therefore,
performance of the radix-8 multiplier is bottlenecked due to       we use radix-4 multiplier, which is faster at its operation
the occurrence of the 3B term in computing the partial
                                                                   and the cost of power increases. The structure of the
products. So for computing the partial product in this case
we select the radix-4 mode. It is a good approach if we
                                                                   proposed multiplier is illustrated in figure 1.[8]
implement the multiplier as a hybrid architecture of the
radix-4/-8 because the radix-8 mode has low power
consumption capability, occupying less area and the main
advantage is that the number of partial products obtained in
this mode are less(N/3) compared to the partial products of
the radix-4 mode(N/2). But the detection of the 3B term while
computing the partial products is very difficult and it is
difficult to implement it on the FPGA board. So by
comparing the performances of the two multipliers we
suggest to go with the radix-4 multiplier and it is
implemented here. In this paper we are implementing the
radix-4 multiplier along with the MAC(Multiplier and
Accumulator) unit for computing the signal values in real
time applications. The carry save adder block is selectively
activated to reduce power consumption. This is
implemented on XC3S200 FPGA. It consists of 3840 LUT’s
of 4-input out of which only 550 LUT’s are used and also it
consists of 173 IOB’s out of which only 66 are used. This
project is implemented on Xilinx XC3S200 FPGA and is
simulated using VHDL and synthesized using Xilinx 12.1.

  Index Terms— FPGA, Modified Booth Algorithm, Radix
multiplier, VHDL.

  I. INTRODUCTION                                                  Fig1: Architecture of Radix-4 multiplier
    Multipliers are the essential components in real time                II. CONVENTIONAL RADIX-4/RADIX-8 MULTIPLIER
signal processing and also for all the multimedia                      The modified Booth encoded multiplier has two types,
applications. Many previous works were done in                     the radix-4 multiplier architecture and the radix-8
implementing high-speed multiplier to reduce power                 multiplier architecture. The difference between the two
consumption [2]. This is due to the increased demand for           architectures, summarized in Table I, results from the
portable multimedia applications which require low                 difference in the number of bits of the input operand that
power consumption as well as high speed operation.                 are encoded. A radix-4 multiplier encodes 2 bit-segments of
    However low-power multipliers without any                      the input operand while the radix-8 encodes 3 bit-segments
consideration for high-speed are not the appropriate               of the input operand. As a result, the radix-8 architecture
solutions of low-energy embedded signal processing for             has a smaller number of partial products and thus lower
multimedia applications [1] [4]. Previously, a hybrid              power consumption. However, it is slower than the radix-4
radix-4/-8 modified Booth encoded (MBE) multiplier was             architecture due to the higher complexity of the partial
proposed for low-power and high-speed operation. This              product generation stage.
multiplier architecture had separate radix-4 and radix-8
Booth encoders. Both encoders were operated regardless
of the input patterns [2], resulting in power and area
overhead. Therefore although its power consumption was
reduced compared to the radix-4 architecture, its critical
path delay was considerably increased. As a result, its
energy efficiency was not improved over conventional
radix-4 or radix-8 architectures [2].
    We propose a multiplier that computes the partial
product and parallely performs the accumulation of the                TABLE I. COMPARISON BETWEEN RADIX-4 AND RADIX-8
partial products. This multiplier operates on the Modified
Booth Encoder algorithm and the Wallace tree in radix-4               In a radix-8 multiplier, the performance bottleneck
                                                                                                                          346
                                              All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                        International Journal of Advanced Research in Computer Engineering & Technology
                                                                                             Volume 1, Issue 5, July 2012



occurs only when the encoding result contains ±3B terms.             result to adder which will accumulate the result and then
Table II shows all possible encoding results. In Table II, the       will store the result into a memory location. This entire
probability that radix-8 Booth encoding multiplier generates         process is to be achieved in a single clock cycle. The
±3B terms is only four out of sixteen cases. If it is possible       architecture of the MAC unit which had been designed in
to encode partial product in a different way when ±3B term           this work consists of one 16 bit register, one 16-bit
occurs, power consumption can be reduced remarkably
                                                                     Modified Booth Multiplier, 32- bit accumulator. To
with only one third of partial products compared to radix-
4’s partial product generation method. Moreover an                   multiply the values of A and B, Modified Booth
adding step to produce ±3B term is unnecessary therefore             multiplier is used instead of conventional multiplier
the propagation delay of proposed hybrid multiplier is               because Modified Booth multiplier can increase the MAC
same as a radix-4 multiplier. So by considering this                 unit design speed and reduce multiplication complexity.
statement we implement the multiplier in radix-4 mode.




                                                                         Fig 2: Multiplication And Accumulation operation

                                                                     B. Proposed MAC Architecture
                                                                          If an operation to multiply two N-bit numbers and
                                                                     accumulate into a 2N-bit number is considered, the
                                                                     critical path is determined by the 2N-bit accumulation
                                                                     operation. if a pipeline scheme is applied for each step in
                                                                     the standard design of Fig ,the delay of last accumulator
                                                                     must be reduced in order to improve the performance of
                                                                     the MAC. The overall performance of the proposed
                                                                     MAC is improved by eliminating the accumulator itself
                                                                     by combing it with CSA function.if the accumulator has
                                                                     been eliminated, the critical path is then determined by
                                                                     the final adder in the multiplier.The basic method to
                                                                     improve the performance of final adder is to decrease the
                                                                     no of input bits.Inorder to reduce these no input bits,the
  TABLE II.   RADIX-8 PARTIAL PRODUCT GENERATION TABLE (AXB)         multiple partial products are compressed into a sum and
                                                                     carry by CSA.the number of bits of sums and carries to
III. PROPOSED RADIX-4 MULTIPLIER WITH MAC UNIT                       be transferred to the final adder is reduced by adding
                                                                     lower bits of sums and carries inadvance within the
There are three techniques are existing in multiplication.           range in which the overall performance will not be
(i) Binary Multiplication                                            degraded.
(ii) Array Multiplication
(iii) Multiplier and Accumulator Unit
In this paper we mainly concentrate on Multiplier and
Accumulator Unit.
A. Multiplier and Accumulator Unit
    The inputs for the MAC are to be fetched from
memory location and fed to the multiplier block of the
MAC, which will perform multiplication and give the                  Fig 3: MAC Architecture in hardware
                                                                                                                            347
                                                All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                    International Journal of Advanced Research in Computer Engineering & Technology
                                                                                         Volume 1, Issue 5, July 2012



C. Booth Encoder                                                  Partial Product 1 = Multiplicand * 2, shifted left 2 bits (x
                                                                  8)

                                                                  This is the same result as the equivalent shift and add
                                                                  method:
                                                                  Partial Product 0 = Multiplicand * 1, shifted left 0 bits (x
                                                                  1)
                                                                  Partial Product 1 = Multiplicand * 1, shifted left 1 bits (x
Properties:                                                       2)
 Multiplication starts from least significant bit                Partial Product 2 = Multiplicand * 1, shifted left 2 bits (x
 If started from most significant bit - longer                   4)
    adder/subtractor to allow for carry propagation               Partial Product 3 = Multiplicand * 0, shifted left 3 bits (x
 No need to generate recoded SD multiplier                       0)
    (requiring 2 bits per digit)
 Bits of original multiplier scanned - control signals                The advantage of this method is the halving of the
    for adder/subtractor generated                                number of partial products. This is important in circuit
 Booth's algorithm can handle two's complement                   design as it relates to the propagation delay in the
    multipliers                                                   running of the circuit, and the complexity and power
                                                                  consumption of its implementation. It is also important
 If unsigned numbers multiplied - 0 added to left of
    multiplier (xn=0) to ensure correctness                       to note that there is comparatively little complexity
                                                                  penalty in multiplying by 0, 1 or 2. All that is needed is a
Booth’s Recoding Algorithm:                                       multiplexer or equivalent, which has a delay time that is
      Booth multiplication [7] is a technique that allows         independent of the size of the inputs. Negating 2's
for smaller, faster multiplication circuits, by recoding the      complement numbers has the added complication of
numbers that are multiplied. It is the standard technique         needing to add a "1" to the LSB, but this can be
used in chip design, and provides significant                     overcome by adding a single correction term with the
improvements over the "long multiplication" technique.            necessary "1"s in the correct positions.
Shift and Add
      A standard approach that might be taken by a                Radix-4 Booth Recoding
novice to perform multiplication is to "shift and add", or              To Booth recode the multiplier term, we consider
normal "long multiplication". That is, for each column in         the bits in blocks of three, such that each block overlaps
the multiplier, shift the multiplicand the appropriate            the previous block by one bit. [4] Grouping starts from
                                                                  the LSB, and the first block only uses two bits of the
number of columns and multiply it by the value of the
digit in that column of the multiplier, to obtain a partial       multiplier (since there is no previous block to overlap):
product. The partial products are then added to obtain the
final result:

          001011
                                                                  Fig: Grouping of bits from the multiplier term, for use in
          010011
                                                                  Booth recoding.
          001011
         001011
                                                                       The least significant block uses only two bits of the
       000000
                                                                  multiplier, and assumes a zero for the third bit. The
      000000
                                                                  overlap is necessary so that we know what happened in
     001011
                                                                  the last block, as the MSB of the block acts like a sign
     0011010001
                                                                  bit. We then consult the table 2-3 to decide what the
With this system, the number of partial products is
                                                                  encoding will be.
exactly the number of columns in the multiplier.

Reducing the Number of Partial Products                                           Block Partial Product
       It is possible to reduce the number of partial                             000    0
products by half, by using the technique of radix 4 Booth
recoding. The basic idea is that, instead of shifting and                         001    1 * Multiplicand
adding for every column of the multiplier term and                                010    1 * Multiplicand
multiplying by 1 or 0, we only take every second
                                                                                  011    2 * Multiplicand
column, and multiply by ±1, ±2, or 0, to obtain the same
results. So, to multiply by 7, we can multiply the partial                        100    -2 * Multiplicand
product aligned against the least significant bit by -1, and                      101    -1 * Multiplicand
multiply the partial product aligned with the third
column by 2:                                                                      110    -1 * Multiplicand
                                                                                  111    0
Partial Product 0 = Multiplicand * -1, shifted left 0 bits        Table III: Booth recoding strategy for each of the
(x -1)                                                            possible block values.
                                                                                                                          348
                                             All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                   International Journal of Advanced Research in Computer Engineering & Technology
                                                                                        Volume 1, Issue 5, July 2012



                                                                    two bits of the multiplier (since there is no previous
      Since we use the LSB of each block to know what               block to overlap):
the sign bit was in the previous block, and there are
never any negative products before the least significant        Steps for computing the multiplication of 8 and 20
block, the LSB of the first block is always assumed to be
0. Hence, we would recode our example of 7 (binary                 Pad LSB with 1 zero
0111) as :
              0111
     block 0 :     110        Encoding : * (-1)
     block 1 : 0 1 1        Encoding : * (2)                       n is even then pad the MSB with two zeros
     In the case where there are not enough bits to obtain
a MSB of the last block, as below, we sign extend the
multiplier by one bit.
             00111                                                 Form 3-bit overlapping groups for n=8 we have 5
     block 0 :       110     Encoding : * (-1)                      groups
     block 1 : 0 1 1         Encoding : * (2)
     block 2 : 0 0 0         Encoding : * (0)



                                                                   Determine partial product scale         factor   from
                                                                    modified booth 2 encoding table.



Fig 4: Booth Recoder and its inputs and outputs.

In figure 4,
        The zero signal indicates whether the
         multiplicand is zeroed before being used as a
         partial product

        The shift signal is used as the control to a 2:1
         multiplexer, to select whether or not the partial
         product bits are shifted left one position.

        Finally, the neg signal indicates whether or not          Compute the multiplicand multiples
         to invert all of the bits to create a negative
         product (which must be corrected by adding "1"
         at some later stage)
        The described operations for booth recoding and
partial product generation can be expressed in terms of
logical operations if desired but, for synthesis, it was
found to be better to implement the truth tables in terms
of VHDL case and if/then/else statements.
     Bits xi and xi-1 recoded into yi and yi-1 -     xi-2
     serves as reference bit
 Separately - xi-2 and xi-3 recoded into yi-2 and yi-3
     - xi-4 serves as reference bit
 Groups of 3 bits each overlap - rightmost being x1
                                                                Fig 5: Multiplication using modified Booth encoding
     x0 (x-1), next x3 x2 (x1), and so on
                                                                D. Carry Save Adder
                                                                The architecture of the hybrid-type CSA that complies
                                                                with the operation of the proposed MAC is shown in Fig.
                                                                5, which performs 8X 8-bit operation.
    Fig: Grouping of bits from the multiplier term               A + B => S
   To Booth recode the multiplier term, we consider             Save carries A + B => S, Cout
    the bits in blocks of three, such that each block            Use Cin A + B + C => S1, S2 (3# to 2# in parallel)
    overlaps the previous block by one bit. Grouping            Used in combinational multipliers by building a Wallace
    starts from the LSB, and the first block only uses          Tree It was formed based on (12).
                                                                                                                      349
                                           All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                  International Journal of Advanced Research in Computer Engineering & Technology
                                                                                       Volume 1, Issue 5, July 2012



                                                                    When the reset value is active low then the MAC
                                                                operation is started in the accumulator unit in radix-4
                                                                mode.




Fig 6: Carry Save Adder

ADVANTAGES
 Booth multipliers save costs (time and area) for
   adding partial products
 With the higher radix the number of additions is
   reduced and the redundant Booth code reduces costs           Fig 8: Multiplier output with MAC operation
   for generating partial products in a higher radix                                        V. CONCLUSION
   system.                                                          Our project gives a clear concept of different
 Low power consumption is there in case of radix 4             multiplier. We found that the parallel multipliers are
   booth multiplier because it is a high speed parallel         much option than the serial multiplier. We concluded
   multiplier.                                                  this from the result of power consumption and the total
                                                                area. In case of parallel multipliers, the total area is much
APPLICATIONS                                                    less than that of serial multipliers. Hence the power
 Multimedia and communication systems,                         consumption is also less. This is clearly depicted in our
 Real-time signal processing like audio signal                 results. This speeds up the calculation and makes the
   processing, video/image processing, or large-                system faster.
   capacity data processing.                                        Multipliers are one the most important component of
 The multiplier and multiplier-and-accumulator                 many systems. So we always need to find a better
   (MAC) are the essential elements of the digital              solution in case of multipliers. Our multipliers should
   signal processing such as filtering, convolution, and        always consume less power and cover less power. So
   inner products.                                              through our project we try to determine which of the
                                                                three algorithms works the best. In the end we determine
                 IV. EXPERIMENTAL RESULT                        that Radix-4 multiplier architecture which is proposed in
     In this project we are evaluating the performance of       this paper is an appropriate solution for portable
                                                                multimedia applications because it is both low-power and
the high speed low power MAC using Radix-4 algorithm            high-speed.
in VHDL coding. The power consumption details are
obtained by synthesizing in XILINX 12.1 version.                REFERENCES
                                                                 [1] B.S. Chekauer and E.G. Friedman, “A Hybrid Radix-4/Radix-8 Low
     When the reset value is active high then the               75Power Signed Multiplier Architecture”, IEEE Trans. vol. 44, no.8,
multiplicand multiplies the multiplier in radix-4 mode.         pp656-659, Aug. 1997.
                                                                [2] A.P. Chandrakasan, S.Sheng, and R.W. Broderson, “Low-power
                                                                CMOS digital design”, IEEE J. Solid-State Circuits, vol.27, pp. 473-483,
                                                                Apr. 1992.
                                                                [3] G. N. Sung, Y. J. Ciou, and C. C. Wang, “A power-aware 2-
                                                                dimensional bypassing multiplier using cell-based design flow,” IEEE
                                                                International Symposium on Circuits and Systems, May 2008
                                                                [4] Shiann-Rong Kuang, and Jiun-Ping Wang, “Modified Booth
                                                                Multipliers With a Regular Partial Product Array”, IEEE Trans. vol. 56,
                                                                no.5, pp404-408, May. 2009.
                                                                [5] B. Millar, P.E. Madrid, and E.E. Swartzlander, Jr., “A fast hybrid
                                                                multiplier combining Booth and Wallace/Dadda algorithms,” in
                                                                Proc.35th IEEE Midwest Symp. Circuits Syst., Aug. 1992, pp. 158–
                                                                165.D
                                                                 [6] J. Fadavi-Ardekani, “M × N booth encoded multiplier generator
                                                                using optimized Wallace trees,” IEEE Trans. Very Large Scale Integr.
                                                                (VLSI) Syst., vol. 1, no. 2, pp. 120–125, Jun. 1993.
                                                                [7] www.wikipedia.com for Booth multiplication algorithm
Fig 7: Multiplier output without MAC operation.
                                                                [8] www.googleimages.com for the block diagram of radix-4




                                                                                                                                   350
                                           All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                 International Journal of Advanced Research in Computer Engineering & Technology
                                                                                      Volume 1, Issue 5, July 2012

Bodasingi Vijay Bhaskar has received his B.Tech
degree in Electronics and Communication Engineering at
GMRIT, JNTU Kakinada. He has received M.Tech
degree in VLSI System Design from St. Theressa
Institute of Engineering and Technology JNTU
Kakinada. He had an experience of 11 years in total in
which he serviced as HOD of ECE dept., for 5 years.
Currently working as I/C Principal at Avanthi’s St.
Theressa Institute of Engineering and Technology JNTU
Kakinada. Fields of interest is VLSI, DSP, and
Communication Systems.

Valiveti Ravi Tejesvi has received B.Tech degree in
Electronics and Communication Engineering at St.
Theressa Institute of Engineering and Technology, JNTU
Kakinada during 2010. Currently working on M.Tech
degree in VLSI System Design from Avanthi’s St.
Theressa Institute of Engineering and Technology JNTU
Kakinada. Fields of interest is VLSI, Communication
Systems, and DSP.


Reddi Surya Prakash Rao has received B.Tech degree
in Electronics and Communication Engineering at St.
Theressa Instituteof Engineering and Technology, JNTU
Kakinada during 2005 and M.Tech degree in DECS from
HINDU College, JNTU Hyderabad. He had an
experience of 5 years in total. Fields of interest is
Communication Systems, DSP.




                                                                                                              351
                                        All Rights Reserved © 2012 IJARCET

Weitere ähnliche Inhalte

Was ist angesagt?

A Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierA Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierIJERA Editor
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET Journal
 
Area-Efficient VLSI Implementation for Parallel Linear-Phase FIR Digital Filt...
Area-Efficient VLSI Implementation for Parallel Linear-Phase FIR Digital Filt...Area-Efficient VLSI Implementation for Parallel Linear-Phase FIR Digital Filt...
Area-Efficient VLSI Implementation for Parallel Linear-Phase FIR Digital Filt...IOSR Journals
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...IOSR Journals
 
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAVLSICS Design
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)inventionjournals
 
High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...Ieee Xpert
 
High performance nb-ldpc decoder with reduction of message exchange
High performance nb-ldpc decoder with reduction of message exchange High performance nb-ldpc decoder with reduction of message exchange
High performance nb-ldpc decoder with reduction of message exchange Ieee Xpert
 
A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...Ieee Xpert
 
Graph based transistor network generation method for supergate design
Graph based transistor network generation method for supergate designGraph based transistor network generation method for supergate design
Graph based transistor network generation method for supergate designIeee Xpert
 
Design and Implementation of an Efficient Carry Skip Adder
Design and Implementation of an Efficient Carry Skip AdderDesign and Implementation of an Efficient Carry Skip Adder
Design and Implementation of an Efficient Carry Skip AdderIRJET Journal
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)IJERD Editor
 

Was ist angesagt? (17)

A Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierA Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth Multiplier
 
D0161926
D0161926D0161926
D0161926
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
Area-Efficient VLSI Implementation for Parallel Linear-Phase FIR Digital Filt...
Area-Efficient VLSI Implementation for Parallel Linear-Phase FIR Digital Filt...Area-Efficient VLSI Implementation for Parallel Linear-Phase FIR Digital Filt...
Area-Efficient VLSI Implementation for Parallel Linear-Phase FIR Digital Filt...
 
SFQ MULTIPLIER
SFQ MULTIPLIERSFQ MULTIPLIER
SFQ MULTIPLIER
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
 
J43015355
J43015355J43015355
J43015355
 
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
 
Cq25550554
Cq25550554Cq25550554
Cq25550554
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...
 
High performance nb-ldpc decoder with reduction of message exchange
High performance nb-ldpc decoder with reduction of message exchange High performance nb-ldpc decoder with reduction of message exchange
High performance nb-ldpc decoder with reduction of message exchange
 
A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...
 
Ad4103173176
Ad4103173176Ad4103173176
Ad4103173176
 
Graph based transistor network generation method for supergate design
Graph based transistor network generation method for supergate designGraph based transistor network generation method for supergate design
Graph based transistor network generation method for supergate design
 
Design and Implementation of an Efficient Carry Skip Adder
Design and Implementation of an Efficient Carry Skip AdderDesign and Implementation of an Efficient Carry Skip Adder
Design and Implementation of an Efficient Carry Skip Adder
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
 

Ähnlich wie 346 351

A hybrid radix 4 radix-8 low-power, high
A hybrid radix 4 radix-8 low-power, highA hybrid radix 4 radix-8 low-power, high
A hybrid radix 4 radix-8 low-power, highSudhir Kumar
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...IRJET Journal
 
IRJET- Efficient Design of Radix Booth Multiplier
IRJET- Efficient Design of Radix Booth MultiplierIRJET- Efficient Design of Radix Booth Multiplier
IRJET- Efficient Design of Radix Booth MultiplierIRJET Journal
 
High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation IJMER
 
PARTIAL PRODUCT ARRAY HEIGHT REDUCTION USING RADIX-16 FOR 64-BIT BOOTH MULTI...
PARTIAL PRODUCT ARRAY HEIGHT REDUCTION USING RADIX-16 FOR 64-BIT BOOTH MULTI...PARTIAL PRODUCT ARRAY HEIGHT REDUCTION USING RADIX-16 FOR 64-BIT BOOTH MULTI...
PARTIAL PRODUCT ARRAY HEIGHT REDUCTION USING RADIX-16 FOR 64-BIT BOOTH MULTI...Hari M
 
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encodingPre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encodingjpstudcorner
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...IRJET Journal
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueIJMER
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueIJMER
 
Paper id 25201467
Paper id 25201467Paper id 25201467
Paper id 25201467IJRAT
 
IRJET- Realization of Decimal Multiplication using Radix-16 Modified Booth En...
IRJET- Realization of Decimal Multiplication using Radix-16 Modified Booth En...IRJET- Realization of Decimal Multiplication using Radix-16 Modified Booth En...
IRJET- Realization of Decimal Multiplication using Radix-16 Modified Booth En...IRJET Journal
 
Implementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLImplementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLpaperpublications3
 
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...IRJET Journal
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
VHDL Implementation of High Speed and Low Power BIST Based Vedic Multiplier
VHDL Implementation of High Speed and Low Power BIST Based Vedic MultiplierVHDL Implementation of High Speed and Low Power BIST Based Vedic Multiplier
VHDL Implementation of High Speed and Low Power BIST Based Vedic MultiplierIRJET Journal
 

Ähnlich wie 346 351 (20)

Bn26425431
Bn26425431Bn26425431
Bn26425431
 
A hybrid radix 4 radix-8 low-power, high
A hybrid radix 4 radix-8 low-power, highA hybrid radix 4 radix-8 low-power, high
A hybrid radix 4 radix-8 low-power, high
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
 
IRJET- Efficient Design of Radix Booth Multiplier
IRJET- Efficient Design of Radix Booth MultiplierIRJET- Efficient Design of Radix Booth Multiplier
IRJET- Efficient Design of Radix Booth Multiplier
 
IJET-V3I1P14
IJET-V3I1P14IJET-V3I1P14
IJET-V3I1P14
 
High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation
 
PARTIAL PRODUCT ARRAY HEIGHT REDUCTION USING RADIX-16 FOR 64-BIT BOOTH MULTI...
PARTIAL PRODUCT ARRAY HEIGHT REDUCTION USING RADIX-16 FOR 64-BIT BOOTH MULTI...PARTIAL PRODUCT ARRAY HEIGHT REDUCTION USING RADIX-16 FOR 64-BIT BOOTH MULTI...
PARTIAL PRODUCT ARRAY HEIGHT REDUCTION USING RADIX-16 FOR 64-BIT BOOTH MULTI...
 
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encodingPre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
 
Paper id 25201467
Paper id 25201467Paper id 25201467
Paper id 25201467
 
IRJET- Realization of Decimal Multiplication using Radix-16 Modified Booth En...
IRJET- Realization of Decimal Multiplication using Radix-16 Modified Booth En...IRJET- Realization of Decimal Multiplication using Radix-16 Modified Booth En...
IRJET- Realization of Decimal Multiplication using Radix-16 Modified Booth En...
 
Implementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLImplementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDL
 
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
 
Implementation of MAC using Modified Booth Algorithm
Implementation of MAC using Modified Booth AlgorithmImplementation of MAC using Modified Booth Algorithm
Implementation of MAC using Modified Booth Algorithm
 
F1074145
F1074145F1074145
F1074145
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
VHDL Implementation of High Speed and Low Power BIST Based Vedic Multiplier
VHDL Implementation of High Speed and Low Power BIST Based Vedic MultiplierVHDL Implementation of High Speed and Low Power BIST Based Vedic Multiplier
VHDL Implementation of High Speed and Low Power BIST Based Vedic Multiplier
 

Mehr von Editor IJARCET

Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationEditor IJARCET
 
Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Editor IJARCET
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Editor IJARCET
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Editor IJARCET
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Editor IJARCET
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Editor IJARCET
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Editor IJARCET
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Editor IJARCET
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Editor IJARCET
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Editor IJARCET
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Editor IJARCET
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Editor IJARCET
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Editor IJARCET
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Editor IJARCET
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Editor IJARCET
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Editor IJARCET
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Editor IJARCET
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Editor IJARCET
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Editor IJARCET
 

Mehr von Editor IJARCET (20)

Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturization
 
Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107
 

Kürzlich hochgeladen

Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxKatpro Technologies
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...Martijn de Jong
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Servicegiselly40
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...Neo4j
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUK Journal
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slidespraypatel2
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationSafe Software
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Igalia
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Drew Madelung
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 

Kürzlich hochgeladen (20)

Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 

346 351

  • 1. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 Implementation of Radix-4 Multiplier with a Parallel MAC unit using MBE Algorithm Bodasingi Vijay Bhaskar Valiveti Ravi Tejesvi Reddi Surya Prakash Rao bhaskar748@gmail.com raviteja433@yahoo.com prakashece_48@reddifmail.com Abstract— A radix-4/-8 multiplier is implemented using mode . In the majority of the input cases, the radix-8 modified booth multiplier encoder that demand high speed architecture is as fast as radix-4 architecture while and low energy operation. Depending on the input pattern, consuming less power. However, in the remaining input the multiplier operates in the radix-8 mode in 56% of the cases, the radix-8 architecture is bottlenecked by the input cases for low power, but reverts to the radix-4 mode in generation of the ±3B partial product term, which requires 44% of the slower input cases for high speed. The an additional carry propagation adding stage. Therefore, performance of the radix-8 multiplier is bottlenecked due to we use radix-4 multiplier, which is faster at its operation the occurrence of the 3B term in computing the partial and the cost of power increases. The structure of the products. So for computing the partial product in this case we select the radix-4 mode. It is a good approach if we proposed multiplier is illustrated in figure 1.[8] implement the multiplier as a hybrid architecture of the radix-4/-8 because the radix-8 mode has low power consumption capability, occupying less area and the main advantage is that the number of partial products obtained in this mode are less(N/3) compared to the partial products of the radix-4 mode(N/2). But the detection of the 3B term while computing the partial products is very difficult and it is difficult to implement it on the FPGA board. So by comparing the performances of the two multipliers we suggest to go with the radix-4 multiplier and it is implemented here. In this paper we are implementing the radix-4 multiplier along with the MAC(Multiplier and Accumulator) unit for computing the signal values in real time applications. The carry save adder block is selectively activated to reduce power consumption. This is implemented on XC3S200 FPGA. It consists of 3840 LUT’s of 4-input out of which only 550 LUT’s are used and also it consists of 173 IOB’s out of which only 66 are used. This project is implemented on Xilinx XC3S200 FPGA and is simulated using VHDL and synthesized using Xilinx 12.1. Index Terms— FPGA, Modified Booth Algorithm, Radix multiplier, VHDL. I. INTRODUCTION Fig1: Architecture of Radix-4 multiplier Multipliers are the essential components in real time II. CONVENTIONAL RADIX-4/RADIX-8 MULTIPLIER signal processing and also for all the multimedia The modified Booth encoded multiplier has two types, applications. Many previous works were done in the radix-4 multiplier architecture and the radix-8 implementing high-speed multiplier to reduce power multiplier architecture. The difference between the two consumption [2]. This is due to the increased demand for architectures, summarized in Table I, results from the portable multimedia applications which require low difference in the number of bits of the input operand that power consumption as well as high speed operation. are encoded. A radix-4 multiplier encodes 2 bit-segments of However low-power multipliers without any the input operand while the radix-8 encodes 3 bit-segments consideration for high-speed are not the appropriate of the input operand. As a result, the radix-8 architecture solutions of low-energy embedded signal processing for has a smaller number of partial products and thus lower multimedia applications [1] [4]. Previously, a hybrid power consumption. However, it is slower than the radix-4 radix-4/-8 modified Booth encoded (MBE) multiplier was architecture due to the higher complexity of the partial proposed for low-power and high-speed operation. This product generation stage. multiplier architecture had separate radix-4 and radix-8 Booth encoders. Both encoders were operated regardless of the input patterns [2], resulting in power and area overhead. Therefore although its power consumption was reduced compared to the radix-4 architecture, its critical path delay was considerably increased. As a result, its energy efficiency was not improved over conventional radix-4 or radix-8 architectures [2]. We propose a multiplier that computes the partial product and parallely performs the accumulation of the TABLE I. COMPARISON BETWEEN RADIX-4 AND RADIX-8 partial products. This multiplier operates on the Modified Booth Encoder algorithm and the Wallace tree in radix-4 In a radix-8 multiplier, the performance bottleneck 346 All Rights Reserved © 2012 IJARCET
  • 2. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 occurs only when the encoding result contains ±3B terms. result to adder which will accumulate the result and then Table II shows all possible encoding results. In Table II, the will store the result into a memory location. This entire probability that radix-8 Booth encoding multiplier generates process is to be achieved in a single clock cycle. The ±3B terms is only four out of sixteen cases. If it is possible architecture of the MAC unit which had been designed in to encode partial product in a different way when ±3B term this work consists of one 16 bit register, one 16-bit occurs, power consumption can be reduced remarkably Modified Booth Multiplier, 32- bit accumulator. To with only one third of partial products compared to radix- 4’s partial product generation method. Moreover an multiply the values of A and B, Modified Booth adding step to produce ±3B term is unnecessary therefore multiplier is used instead of conventional multiplier the propagation delay of proposed hybrid multiplier is because Modified Booth multiplier can increase the MAC same as a radix-4 multiplier. So by considering this unit design speed and reduce multiplication complexity. statement we implement the multiplier in radix-4 mode. Fig 2: Multiplication And Accumulation operation B. Proposed MAC Architecture If an operation to multiply two N-bit numbers and accumulate into a 2N-bit number is considered, the critical path is determined by the 2N-bit accumulation operation. if a pipeline scheme is applied for each step in the standard design of Fig ,the delay of last accumulator must be reduced in order to improve the performance of the MAC. The overall performance of the proposed MAC is improved by eliminating the accumulator itself by combing it with CSA function.if the accumulator has been eliminated, the critical path is then determined by the final adder in the multiplier.The basic method to improve the performance of final adder is to decrease the no of input bits.Inorder to reduce these no input bits,the TABLE II. RADIX-8 PARTIAL PRODUCT GENERATION TABLE (AXB) multiple partial products are compressed into a sum and carry by CSA.the number of bits of sums and carries to III. PROPOSED RADIX-4 MULTIPLIER WITH MAC UNIT be transferred to the final adder is reduced by adding lower bits of sums and carries inadvance within the There are three techniques are existing in multiplication. range in which the overall performance will not be (i) Binary Multiplication degraded. (ii) Array Multiplication (iii) Multiplier and Accumulator Unit In this paper we mainly concentrate on Multiplier and Accumulator Unit. A. Multiplier and Accumulator Unit The inputs for the MAC are to be fetched from memory location and fed to the multiplier block of the MAC, which will perform multiplication and give the Fig 3: MAC Architecture in hardware 347 All Rights Reserved © 2012 IJARCET
  • 3. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 C. Booth Encoder Partial Product 1 = Multiplicand * 2, shifted left 2 bits (x 8) This is the same result as the equivalent shift and add method: Partial Product 0 = Multiplicand * 1, shifted left 0 bits (x 1) Partial Product 1 = Multiplicand * 1, shifted left 1 bits (x Properties: 2)  Multiplication starts from least significant bit Partial Product 2 = Multiplicand * 1, shifted left 2 bits (x  If started from most significant bit - longer 4) adder/subtractor to allow for carry propagation Partial Product 3 = Multiplicand * 0, shifted left 3 bits (x  No need to generate recoded SD multiplier 0) (requiring 2 bits per digit)  Bits of original multiplier scanned - control signals The advantage of this method is the halving of the for adder/subtractor generated number of partial products. This is important in circuit  Booth's algorithm can handle two's complement design as it relates to the propagation delay in the multipliers running of the circuit, and the complexity and power consumption of its implementation. It is also important  If unsigned numbers multiplied - 0 added to left of multiplier (xn=0) to ensure correctness to note that there is comparatively little complexity penalty in multiplying by 0, 1 or 2. All that is needed is a Booth’s Recoding Algorithm: multiplexer or equivalent, which has a delay time that is Booth multiplication [7] is a technique that allows independent of the size of the inputs. Negating 2's for smaller, faster multiplication circuits, by recoding the complement numbers has the added complication of numbers that are multiplied. It is the standard technique needing to add a "1" to the LSB, but this can be used in chip design, and provides significant overcome by adding a single correction term with the improvements over the "long multiplication" technique. necessary "1"s in the correct positions. Shift and Add A standard approach that might be taken by a Radix-4 Booth Recoding novice to perform multiplication is to "shift and add", or To Booth recode the multiplier term, we consider normal "long multiplication". That is, for each column in the bits in blocks of three, such that each block overlaps the multiplier, shift the multiplicand the appropriate the previous block by one bit. [4] Grouping starts from the LSB, and the first block only uses two bits of the number of columns and multiply it by the value of the digit in that column of the multiplier, to obtain a partial multiplier (since there is no previous block to overlap): product. The partial products are then added to obtain the final result: 001011 Fig: Grouping of bits from the multiplier term, for use in 010011 Booth recoding. 001011 001011 The least significant block uses only two bits of the 000000 multiplier, and assumes a zero for the third bit. The 000000 overlap is necessary so that we know what happened in 001011 the last block, as the MSB of the block acts like a sign 0011010001 bit. We then consult the table 2-3 to decide what the With this system, the number of partial products is encoding will be. exactly the number of columns in the multiplier. Reducing the Number of Partial Products Block Partial Product It is possible to reduce the number of partial 000 0 products by half, by using the technique of radix 4 Booth recoding. The basic idea is that, instead of shifting and 001 1 * Multiplicand adding for every column of the multiplier term and 010 1 * Multiplicand multiplying by 1 or 0, we only take every second 011 2 * Multiplicand column, and multiply by ±1, ±2, or 0, to obtain the same results. So, to multiply by 7, we can multiply the partial 100 -2 * Multiplicand product aligned against the least significant bit by -1, and 101 -1 * Multiplicand multiply the partial product aligned with the third column by 2: 110 -1 * Multiplicand 111 0 Partial Product 0 = Multiplicand * -1, shifted left 0 bits Table III: Booth recoding strategy for each of the (x -1) possible block values. 348 All Rights Reserved © 2012 IJARCET
  • 4. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 two bits of the multiplier (since there is no previous Since we use the LSB of each block to know what block to overlap): the sign bit was in the previous block, and there are never any negative products before the least significant Steps for computing the multiplication of 8 and 20 block, the LSB of the first block is always assumed to be 0. Hence, we would recode our example of 7 (binary  Pad LSB with 1 zero 0111) as : 0111 block 0 : 110 Encoding : * (-1) block 1 : 0 1 1 Encoding : * (2)  n is even then pad the MSB with two zeros In the case where there are not enough bits to obtain a MSB of the last block, as below, we sign extend the multiplier by one bit. 00111  Form 3-bit overlapping groups for n=8 we have 5 block 0 : 110 Encoding : * (-1) groups block 1 : 0 1 1 Encoding : * (2) block 2 : 0 0 0 Encoding : * (0)  Determine partial product scale factor from modified booth 2 encoding table. Fig 4: Booth Recoder and its inputs and outputs. In figure 4,  The zero signal indicates whether the multiplicand is zeroed before being used as a partial product  The shift signal is used as the control to a 2:1 multiplexer, to select whether or not the partial product bits are shifted left one position.  Finally, the neg signal indicates whether or not  Compute the multiplicand multiples to invert all of the bits to create a negative product (which must be corrected by adding "1" at some later stage) The described operations for booth recoding and partial product generation can be expressed in terms of logical operations if desired but, for synthesis, it was found to be better to implement the truth tables in terms of VHDL case and if/then/else statements.  Bits xi and xi-1 recoded into yi and yi-1 - xi-2 serves as reference bit  Separately - xi-2 and xi-3 recoded into yi-2 and yi-3 - xi-4 serves as reference bit  Groups of 3 bits each overlap - rightmost being x1 Fig 5: Multiplication using modified Booth encoding x0 (x-1), next x3 x2 (x1), and so on D. Carry Save Adder The architecture of the hybrid-type CSA that complies with the operation of the proposed MAC is shown in Fig. 5, which performs 8X 8-bit operation. Fig: Grouping of bits from the multiplier term  A + B => S  To Booth recode the multiplier term, we consider  Save carries A + B => S, Cout the bits in blocks of three, such that each block  Use Cin A + B + C => S1, S2 (3# to 2# in parallel) overlaps the previous block by one bit. Grouping Used in combinational multipliers by building a Wallace starts from the LSB, and the first block only uses Tree It was formed based on (12). 349 All Rights Reserved © 2012 IJARCET
  • 5. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 When the reset value is active low then the MAC operation is started in the accumulator unit in radix-4 mode. Fig 6: Carry Save Adder ADVANTAGES  Booth multipliers save costs (time and area) for adding partial products  With the higher radix the number of additions is reduced and the redundant Booth code reduces costs Fig 8: Multiplier output with MAC operation for generating partial products in a higher radix V. CONCLUSION system. Our project gives a clear concept of different  Low power consumption is there in case of radix 4 multiplier. We found that the parallel multipliers are booth multiplier because it is a high speed parallel much option than the serial multiplier. We concluded multiplier. this from the result of power consumption and the total area. In case of parallel multipliers, the total area is much APPLICATIONS less than that of serial multipliers. Hence the power  Multimedia and communication systems, consumption is also less. This is clearly depicted in our  Real-time signal processing like audio signal results. This speeds up the calculation and makes the processing, video/image processing, or large- system faster. capacity data processing. Multipliers are one the most important component of  The multiplier and multiplier-and-accumulator many systems. So we always need to find a better (MAC) are the essential elements of the digital solution in case of multipliers. Our multipliers should signal processing such as filtering, convolution, and always consume less power and cover less power. So inner products. through our project we try to determine which of the three algorithms works the best. In the end we determine IV. EXPERIMENTAL RESULT that Radix-4 multiplier architecture which is proposed in In this project we are evaluating the performance of this paper is an appropriate solution for portable multimedia applications because it is both low-power and the high speed low power MAC using Radix-4 algorithm high-speed. in VHDL coding. The power consumption details are obtained by synthesizing in XILINX 12.1 version. REFERENCES [1] B.S. Chekauer and E.G. Friedman, “A Hybrid Radix-4/Radix-8 Low When the reset value is active high then the 75Power Signed Multiplier Architecture”, IEEE Trans. vol. 44, no.8, multiplicand multiplies the multiplier in radix-4 mode. pp656-659, Aug. 1997. [2] A.P. Chandrakasan, S.Sheng, and R.W. Broderson, “Low-power CMOS digital design”, IEEE J. Solid-State Circuits, vol.27, pp. 473-483, Apr. 1992. [3] G. N. Sung, Y. J. Ciou, and C. C. Wang, “A power-aware 2- dimensional bypassing multiplier using cell-based design flow,” IEEE International Symposium on Circuits and Systems, May 2008 [4] Shiann-Rong Kuang, and Jiun-Ping Wang, “Modified Booth Multipliers With a Regular Partial Product Array”, IEEE Trans. vol. 56, no.5, pp404-408, May. 2009. [5] B. Millar, P.E. Madrid, and E.E. Swartzlander, Jr., “A fast hybrid multiplier combining Booth and Wallace/Dadda algorithms,” in Proc.35th IEEE Midwest Symp. Circuits Syst., Aug. 1992, pp. 158– 165.D [6] J. Fadavi-Ardekani, “M × N booth encoded multiplier generator using optimized Wallace trees,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 1, no. 2, pp. 120–125, Jun. 1993. [7] www.wikipedia.com for Booth multiplication algorithm Fig 7: Multiplier output without MAC operation. [8] www.googleimages.com for the block diagram of radix-4 350 All Rights Reserved © 2012 IJARCET
  • 6. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 Bodasingi Vijay Bhaskar has received his B.Tech degree in Electronics and Communication Engineering at GMRIT, JNTU Kakinada. He has received M.Tech degree in VLSI System Design from St. Theressa Institute of Engineering and Technology JNTU Kakinada. He had an experience of 11 years in total in which he serviced as HOD of ECE dept., for 5 years. Currently working as I/C Principal at Avanthi’s St. Theressa Institute of Engineering and Technology JNTU Kakinada. Fields of interest is VLSI, DSP, and Communication Systems. Valiveti Ravi Tejesvi has received B.Tech degree in Electronics and Communication Engineering at St. Theressa Institute of Engineering and Technology, JNTU Kakinada during 2010. Currently working on M.Tech degree in VLSI System Design from Avanthi’s St. Theressa Institute of Engineering and Technology JNTU Kakinada. Fields of interest is VLSI, Communication Systems, and DSP. Reddi Surya Prakash Rao has received B.Tech degree in Electronics and Communication Engineering at St. Theressa Instituteof Engineering and Technology, JNTU Kakinada during 2005 and M.Tech degree in DECS from HINDU College, JNTU Hyderabad. He had an experience of 5 years in total. Fields of interest is Communication Systems, DSP. 351 All Rights Reserved © 2012 IJARCET