SlideShare ist ein Scribd-Unternehmen logo
1 von 17
Topographical Synthesis


        Shankardas Deepti Bharat
                CGB0911002
                   VSD 532
  M.Sc. [Engg.] in VLSI System Design

Module Title: Full Chip Functional Verification
     Module Leader: Mr. Padmanaban K.




           M. S. Ramaiah School of Advanced Studies   1
Contents

•   Introduction

•   ASIC design flow

•   Topographical synthesis

•   Design compiler graphical

•   Key benefits of Topographical synthesis

•   Congestion

•   Advanced Arithmetic Optimization

•   Register retiming

•   Conclusion

•   References




                        M. S. Ramaiah School of Advanced Studies   2
Introduction

•   Traditionally congestion is analyzed and fixed only during the last stage of
    design i.e. during P&R.

•   Today this method is inefficient, as designer may be required to iterate back
    to the RTL and recode the RTL source to remove congestion-causing design
    characteristics.

•   This iterative process between synthesis & layout is time consuming.

•   These options are not optimal and can lead to missed schedules, missed
    design goals and result in added costs.




                              Figure 1. ASIC flow
                              M. S. Ramaiah School of Advanced Studies              3
ASIC design flow

Specifications


    HDL


  Functional
  verification


  Synthesis


     STA                                Topographical
                                          synthesis

     DFT


   Timing                                  Back end
 verification

        M. S. Ramaiah School of Advanced Studies        4
Topographical synthesis


•   Synopsys incorporated topographical synthesis technology into DC in 2005

•   Used to accurately predicts timing, area and power.

•   Ensures synthesis output correlates to actual layout.

•   Reduces the number of iterations required to close design goals eliminating
    the need for wire load models.

•   Early prediction of routing congestion and visualization of congestion hot
    spots and timing issues.

•   Allows RTL designers to fix design issues early, cutting time and improving
    scaling predictability.




                               M. S. Ramaiah School of Advanced Studies           5
Design Compiler Graphical


•   DC graphical provides the designer to preview layouts to decide on whether
    congestion is due to RTL structures or due to bad floor planning.

•   Includes Synopsys’ virtual global-routing technology that enables designers to
    predict wire-routing congestion during RTL synthesis.

•   Predicts congestion "hot spots" early in the design flow.

•   Provides visualization and analysis of the congested circuit regions.

•   Performs synthesis optimizations to minimize congestion in these areas.

•   Provides significant improvement in design time.




                              M. S. Ramaiah School of Advanced Studies               6
Key benefits of Topographical Technology (1/2)

•   Delivers best Quality of Results (QoR) in terms of area, timing, power and
    test Correlated to physical implementation.

•   Removes timing bottlenecks by creating fast critical paths.

•   Offers more flexibility for users to control optimization on specific areas of
    designs.

•   Distributed synthesis with automated chip synthesis.

•   Enables higher efficiency with integrated static timing analysis, test synthesis
    and power synthesis.

•   Support for multi voltage and multi supply.




                             M. S. Ramaiah School of Advanced Studies                  7
Key benefits of Topographical Technology (2/2)


•   Designers fix real design issues while still in synthesis and generate a better start
    point for physical design, eliminating costly iterations.

•   Designed for RTL designers and requires no physical design expertise or changes to
    the synthesis use model.

•   Delivers accurate correlation to post-layout timing, area and power without the
    need for WLM.




                               Figure 2. DC Ultra synthesis [1]

                                M. S. Ramaiah School of Advanced Studies                    8
Congestion


•   Routing congestion occurs when the resources (tracks) needed to route a design
    exceed the available resources.

•   Generates a routing-friendly net list topology that minimizes highly-congested
    structures and wire crossings in congested areas.




Congestion prediction
                                  Figure 3. DC graphical results [2]


                               M. S. Ramaiah School of Advanced Studies              9
Advanced Arithmetic Optimization

• To minimize performance and area impact of carry propagation, arithmetic
  trees in the HDL are optimized using carry-save arithmetic techniques.




                           Figure 4. Arithmetic optimization [2]

                             M. S. Ramaiah School of Advanced Studies        10
Powerful Critical Path Synthesis

•   Performs aggressive timing driven re-structuring, mapping and gate-level
    optimization.

•   Logic duplication for reducing the load seen by the critical path.

•   Buffer high fan out nets to improve total negative slack.




                     Figure 5. Register duplication [1]

                             M. S. Ramaiah School of Advanced Studies          11
Register Retiming

  •   Performs optimization of sequential logic by moving registers through logic
      boundaries to optimize timing with minimum area impact.

  •   Inserts pipelines registers in pure combinational circuits in order to meet
      performance and area requirements.

  •   Used along with datapath optimization algorithms.

  •   All these are performed in order to improve QoR.




Figure 6. Retiming designs with registers [1]    Figure 7. Retiming on combinational logic [1]

                              M. S. Ramaiah School of Advanced Studies                    12
Other Advantages

•   Better Control of Synthesis Cost-Function Priorities and Optimization Step
    It has a default cost function that prioritizes design rule requirements over timing and
    area constraints.
•   Infrastructure for Multicore
    Using an optimized scheme of distributed &
    multithreaded parallelization, which




                                                    # of days
    delivers 2X improvement in runtime on
    quad-core platforms.
•   Supports all popular industry
    standards formats
    Circuit Netlist: Verilog, SystemVerilog & VHDL.                         Gate count

                                                  Figure 8. Single core vs. Multi core runtimes [2]



                                 M. S. Ramaiah School of Advanced Studies                      13
Summary

•   Includes comprehensive optimization algorithms to deliver best-in-class
    quality of results.
•   The Topographical technology ensures results that correlate to layout,
    eliminating costly iterations between synthesis and physical implementation.
•   It remains to be the synthesis tool of choice with its advanced feature set and
    a proven track record of countless design successes.
•   It provides the ability to accurately predict, visualize and alleviate routing
    congestion, substantially reducing iterations between synthesis and physical
    implementation.




                               M. S. Ramaiah School of Advanced Studies               14
References


[1] Synopsys Inc. , (2006) ‘Design Compiler Ultra’ [online] available from
    <http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/D
    ocuments/DCUltra-ds.pdf>Retrieved on 26th Feb 2012
[2] Synopsys Inc. ,(2011) ‘Design Compiler Graphical’ [online] available from <
    http://www.synopsys.com/tools/implementation/rtlsynthesis/dcgraphical/Pag
    es/default.aspx>Retrieved on 26th Feb 2012




                              M. S. Ramaiah School of Advanced Studies            15
Thank You




M. S. Ramaiah School of Advanced Studies   16
Remarks



Sl. No.              Topic                    Max. marks            Marks
                                                                   obtained
  1            Quality of slides                     5
  2            Clarity of subject                    5
  3              Presentation                        5
  4       Effort and question handling               5
                Total                               20




                        M. S. Ramaiah School of Advanced Studies              17

Weitere ähnliche Inhalte

Was ist angesagt?

Timing closure document
Timing closure documentTiming closure document
Timing closure document
Alan Tran
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
Murali Rai
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
Ahmed Abdelazeem
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
Team-VLSI-ITMU
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
Ahmed Abdelazeem
 

Was ist angesagt? (20)

Timing closure document
Timing closure documentTiming closure document
Timing closure document
 
Physical design
Physical design Physical design
Physical design
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Physical design
Physical design Physical design
Physical design
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Placement
PlacementPlacement
Placement
 
Back end[1] debdeep
Back end[1]  debdeepBack end[1]  debdeep
Back end[1] debdeep
 
STA vs DTA.pptx
STA vs DTA.pptxSTA vs DTA.pptx
STA vs DTA.pptx
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing
 

Andere mochten auch

MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010
Mahmudul Faisal
 
Chapter 4 flip flop for students
Chapter 4 flip flop for studentsChapter 4 flip flop for students
Chapter 4 flip flop for students
CT Sabariah Salihin
 

Andere mochten auch (13)

Vlsi
VlsiVlsi
Vlsi
 
MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010
 
Low power tool paper
Low power tool paperLow power tool paper
Low power tool paper
 
Sodc 1 Introduction
Sodc 1 IntroductionSodc 1 Introduction
Sodc 1 Introduction
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Sequential circuits in digital logic design
Sequential circuits in digital logic designSequential circuits in digital logic design
Sequential circuits in digital logic design
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic Circuit
 
Flipflop
FlipflopFlipflop
Flipflop
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Synthesis Examples
Synthesis ExamplesSynthesis Examples
Synthesis Examples
 
Pass transistor logic
Pass transistor logicPass transistor logic
Pass transistor logic
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Chapter 4 flip flop for students
Chapter 4 flip flop for studentsChapter 4 flip flop for students
Chapter 4 flip flop for students
 

Ähnlich wie Topograhical synthesis

SEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designSEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit design
ShaelMalik
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
Deiptii Das
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016
srkkakarla
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signalling
Krishna Kumar
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
ijceronline
 

Ähnlich wie Topograhical synthesis (20)

Research challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingResearch challenges in Reconfigurable Computing
Research challenges in Reconfigurable Computing
 
SEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designSEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit design
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016
 
Fahroo - Computational Mathematics - Spring Review 2012
Fahroo - Computational Mathematics - Spring Review 2012 Fahroo - Computational Mathematics - Spring Review 2012
Fahroo - Computational Mathematics - Spring Review 2012
 
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
 
computer architecture.
computer architecture.computer architecture.
computer architecture.
 
Post Processing
Post Processing Post Processing
Post Processing
 
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
 
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
 
defense_PPT
defense_PPTdefense_PPT
defense_PPT
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signalling
 
murali-resume
murali-resumemurali-resume
murali-resume
 
Architectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthArchitectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidth
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The Supercomputer
 
Univa Presentation at DAC 2020
Univa Presentation at DAC 2020 Univa Presentation at DAC 2020
Univa Presentation at DAC 2020
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
 
Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez
 
CAOS: A CAD Framework for FPGA-Based Systems
CAOS: A CAD Framework for FPGA-Based SystemsCAOS: A CAD Framework for FPGA-Based Systems
CAOS: A CAD Framework for FPGA-Based Systems
 
Uzair's CV
Uzair's CVUzair's CV
Uzair's CV
 

Mehr von Deiptii Das

Organic transistors
Organic transistorsOrganic transistors
Organic transistors
Deiptii Das
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage Reference
Deiptii Das
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significance
Deiptii Das
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
Deiptii Das
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor properties
Deiptii Das
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
Deiptii Das
 

Mehr von Deiptii Das (7)

Organic transistors
Organic transistorsOrganic transistors
Organic transistors
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage Reference
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significance
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Hard ips pdf
Hard ips pdfHard ips pdf
Hard ips pdf
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor properties
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 

Kürzlich hochgeladen

Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
KarakKing
 

Kürzlich hochgeladen (20)

TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The Basics
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
 
Dyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptxDyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptx
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptx
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptx
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptx
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 

Topograhical synthesis

  • 1. Topographical Synthesis Shankardas Deepti Bharat CGB0911002 VSD 532 M.Sc. [Engg.] in VLSI System Design Module Title: Full Chip Functional Verification Module Leader: Mr. Padmanaban K. M. S. Ramaiah School of Advanced Studies 1
  • 2. Contents • Introduction • ASIC design flow • Topographical synthesis • Design compiler graphical • Key benefits of Topographical synthesis • Congestion • Advanced Arithmetic Optimization • Register retiming • Conclusion • References M. S. Ramaiah School of Advanced Studies 2
  • 3. Introduction • Traditionally congestion is analyzed and fixed only during the last stage of design i.e. during P&R. • Today this method is inefficient, as designer may be required to iterate back to the RTL and recode the RTL source to remove congestion-causing design characteristics. • This iterative process between synthesis & layout is time consuming. • These options are not optimal and can lead to missed schedules, missed design goals and result in added costs. Figure 1. ASIC flow M. S. Ramaiah School of Advanced Studies 3
  • 4. ASIC design flow Specifications HDL Functional verification Synthesis STA Topographical synthesis DFT Timing Back end verification M. S. Ramaiah School of Advanced Studies 4
  • 5. Topographical synthesis • Synopsys incorporated topographical synthesis technology into DC in 2005 • Used to accurately predicts timing, area and power. • Ensures synthesis output correlates to actual layout. • Reduces the number of iterations required to close design goals eliminating the need for wire load models. • Early prediction of routing congestion and visualization of congestion hot spots and timing issues. • Allows RTL designers to fix design issues early, cutting time and improving scaling predictability. M. S. Ramaiah School of Advanced Studies 5
  • 6. Design Compiler Graphical • DC graphical provides the designer to preview layouts to decide on whether congestion is due to RTL structures or due to bad floor planning. • Includes Synopsys’ virtual global-routing technology that enables designers to predict wire-routing congestion during RTL synthesis. • Predicts congestion "hot spots" early in the design flow. • Provides visualization and analysis of the congested circuit regions. • Performs synthesis optimizations to minimize congestion in these areas. • Provides significant improvement in design time. M. S. Ramaiah School of Advanced Studies 6
  • 7. Key benefits of Topographical Technology (1/2) • Delivers best Quality of Results (QoR) in terms of area, timing, power and test Correlated to physical implementation. • Removes timing bottlenecks by creating fast critical paths. • Offers more flexibility for users to control optimization on specific areas of designs. • Distributed synthesis with automated chip synthesis. • Enables higher efficiency with integrated static timing analysis, test synthesis and power synthesis. • Support for multi voltage and multi supply. M. S. Ramaiah School of Advanced Studies 7
  • 8. Key benefits of Topographical Technology (2/2) • Designers fix real design issues while still in synthesis and generate a better start point for physical design, eliminating costly iterations. • Designed for RTL designers and requires no physical design expertise or changes to the synthesis use model. • Delivers accurate correlation to post-layout timing, area and power without the need for WLM. Figure 2. DC Ultra synthesis [1] M. S. Ramaiah School of Advanced Studies 8
  • 9. Congestion • Routing congestion occurs when the resources (tracks) needed to route a design exceed the available resources. • Generates a routing-friendly net list topology that minimizes highly-congested structures and wire crossings in congested areas. Congestion prediction Figure 3. DC graphical results [2] M. S. Ramaiah School of Advanced Studies 9
  • 10. Advanced Arithmetic Optimization • To minimize performance and area impact of carry propagation, arithmetic trees in the HDL are optimized using carry-save arithmetic techniques. Figure 4. Arithmetic optimization [2] M. S. Ramaiah School of Advanced Studies 10
  • 11. Powerful Critical Path Synthesis • Performs aggressive timing driven re-structuring, mapping and gate-level optimization. • Logic duplication for reducing the load seen by the critical path. • Buffer high fan out nets to improve total negative slack. Figure 5. Register duplication [1] M. S. Ramaiah School of Advanced Studies 11
  • 12. Register Retiming • Performs optimization of sequential logic by moving registers through logic boundaries to optimize timing with minimum area impact. • Inserts pipelines registers in pure combinational circuits in order to meet performance and area requirements. • Used along with datapath optimization algorithms. • All these are performed in order to improve QoR. Figure 6. Retiming designs with registers [1] Figure 7. Retiming on combinational logic [1] M. S. Ramaiah School of Advanced Studies 12
  • 13. Other Advantages • Better Control of Synthesis Cost-Function Priorities and Optimization Step It has a default cost function that prioritizes design rule requirements over timing and area constraints. • Infrastructure for Multicore Using an optimized scheme of distributed & multithreaded parallelization, which # of days delivers 2X improvement in runtime on quad-core platforms. • Supports all popular industry standards formats Circuit Netlist: Verilog, SystemVerilog & VHDL. Gate count Figure 8. Single core vs. Multi core runtimes [2] M. S. Ramaiah School of Advanced Studies 13
  • 14. Summary • Includes comprehensive optimization algorithms to deliver best-in-class quality of results. • The Topographical technology ensures results that correlate to layout, eliminating costly iterations between synthesis and physical implementation. • It remains to be the synthesis tool of choice with its advanced feature set and a proven track record of countless design successes. • It provides the ability to accurately predict, visualize and alleviate routing congestion, substantially reducing iterations between synthesis and physical implementation. M. S. Ramaiah School of Advanced Studies 14
  • 15. References [1] Synopsys Inc. , (2006) ‘Design Compiler Ultra’ [online] available from <http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/D ocuments/DCUltra-ds.pdf>Retrieved on 26th Feb 2012 [2] Synopsys Inc. ,(2011) ‘Design Compiler Graphical’ [online] available from < http://www.synopsys.com/tools/implementation/rtlsynthesis/dcgraphical/Pag es/default.aspx>Retrieved on 26th Feb 2012 M. S. Ramaiah School of Advanced Studies 15
  • 16. Thank You M. S. Ramaiah School of Advanced Studies 16
  • 17. Remarks Sl. No. Topic Max. marks Marks obtained 1 Quality of slides 5 2 Clarity of subject 5 3 Presentation 5 4 Effort and question handling 5 Total 20 M. S. Ramaiah School of Advanced Studies 17