SlideShare ist ein Scribd-Unternehmen logo
1 von 4
Downloaden Sie, um offline zu lesen
Techniques for Reducing Power in Multipliers
                                              V.Alekhya#1, B.Srinivas*2
                                  Department of ECE, MVGR College Of Engineering
                                              Vizianagaram, AP, India
                                                1
                                                     alekhya.479@gmail.com
                                                2
                                                    srinivas.b@mvgrce.edu.in

Abstract— In this work, a new topology was proposed to              necessity for the design and implementation of efficient
optimize the power dissipation of Multipliers. Low power            power-aware devices.
digital Multiplier Design based on bypassing technique
mainly used to reduce the switching power dissipation.              There are two main choices for the design of a
While this technique offers great dynamic power savings             multiplier. The first is the Wallace tree form, which has
mainly in array multipliers, due to their regular
                                                                    the advantage of a logarithmic circuit delay, and the
interconnection scheme, it misses the reduced area and
high speed advantages of tree multipliers. Therefore,               second is the array multiplier form, like the Carry-Save
mixed style architecture, using a traditional tree based            array, where the delay is linear. On the other hand the
part, combined with a bypass, array based part, is                  array multiplier has a regular structure, which leads to a
proposed. Prototyping of all these multiplier                       dense layout making it ideal for fabrication.
Architectures has been carried out on Spartan3E FPGA.
By Evaluating the performance of these Multiplier                   The Wallace tree has irregular structure, occupies more
architectures using Xilinx ISE tool suite , it has been             area on the wafer, and needs greater wiring for cell
found that while the bypass technique offers the                    interconnections. This point is crucial for present and
minimum dynamic power consumption, the mixed
                                                                    emerging IC technologies, where interconnection plays
architecture offers a delay*power product improvement ,
compared to all other architectures.                                a predominant role. This factor makes the Wallace tree
                                                                    inappropriate for low power applications.
I. INTRODUCTION
                                                                    In this paper, we present a technique to minimize
Low power issues have become an important factor in                 power dissipation in digital multipliers, starting from
modern VLSI design. The limited power capacity                      dynamic power and concentrating on the switching
systems had given rise to more power aware designs by               activity. There have been proposed a lot of techniques
designers. Now-a-days, power has become a crucial                   to reduce the switching activity of a logic circuit. In the
factor than area or speed.          However, different              sequential world one of the most successful is clock
implementation technologies present different power                 gating, where an enable signal inhibits the clock,
                                                                    isolating a large block of the circuit. This technique
optimization opportunities. In technologies above 0.1
                                                                    eliminates activity and thus, power consumption. For
m, dynamic power is the dominant contribution to the                example, in, clock gating is applied in pipelined array
total power dissipated while in smaller technologies;               multipliers with significant power gains. In pure
leakage power is gaining more importance.                           combinational circuits the main effort of research has
                                                                    been to rearrange the tree of gates in a more profitable
Dynamic power dissipation is the result of charging the             scheme, or to insert clock gated registers which provide
load capacitances in a circuit. It is given by equation             the desired isolation.
                                       where     is the
                                                                     The contribution of this paper is the evaluation of a
output capacitance,          the supply voltage, E(sw)
                                                                    technique similar to clock gating. To isolate parts of a
(called switching activity) is the average number of                circuit, transmission gates are used as low delay and
transitions and     the clock frequency.                            area overhead bypass switches. An array multiplier
                                                                    with bypass transmission gates can offer power
Leakage power dissipation is divided in two major                   reductions of more than 50%. Similar bypass ideas
parts, the sub-threshold leakage and the gate-oxide                 with different isolating components have also been
leakage. The sub-threshold leakage is caused by short               reported in the past, in. However, our contribution
channel effects and low threshold voltage (Vth), while              moves one step further and proposes a mixed
the gate-oxide leakage is exponentially increasing with             architecture, to address both dynamic power dissipation
decreasing oxide thickness.                                         and performance, by doing half of the multiplication
                                                                    through an array structure, with bypass transmission
In the past, different architectural optimizations have             gates, and the other half through a Wallace tree. This
been applied in order to minimize dynamic power                     mixed architecture offers a delay*power product
dissipation in arithmetic circuits, and especially in               improvement ranging from 1.2x to 6.5x, compared to
digital multipliers. Multipliers are very important                 all other architectures.
devices in DSP applications, like for example FIR
filters, leading to excessive power consumption.                    Minimization of the switching activity in a digital
Consequently, the design of low power multipliers is a              circuit can be performed by isolating and blocking
                                                                    units producing non-valuable partial results, in order to
save power. To perform isolation, transmission gates
can be used, as ideal switches with small power
consumption, propagation delay similar to the inverter,
and small area. Isolation by transmission gates can be
applied to any kind of logic circuit. However in this
paper we are considering digital multipliers, starting
with the canonical and widely used Carry-Save array
topology.

II. Basic Architectures

A. Carry Save Array Multiplier
                                                                              Figure2(b). FAB Cell
The Carry-Save array multiplier is a straightforward
implementation of vector multiplication. It is preferred     Each FA* cell performs the multiplication
in some implementations because of its canonical             using an AND gate and then adds the result with the
interconnect topology. It consists of a partial product      incoming carry bits, to produce an output sum and an
reduction tree, which is used to calculate partial           output carry. All FA* cells are appropriately connected
products in Carry-Save redundant form, and a final           (sums and carries) as shown in figure 1, to perform the
chain adder to transform the redundant form in normal        multiplication. The final adder shown in figure 1 is
binary form. A 4x4 Carry-Save multiplier is shown in         used to merge the sums and carries from the last row of
figure 1.                                                    the array, since in every row the carry bits are not
                                                             immediately added but rather propagated to the row
                                                             below.

                                                             We can see that when        is 0 then the corresponding
                                                             diagonal cells are functioning unnecessarily. In all
                                                             these cells the partial products      and the carry
                                                             inputs are zero for                           and this
                                                             chain does not contribute to the formation of the
                                                             product. Consequently, the sum output of the above
                                                             cell can bypass this unimportant diagonal with the use
                                                             of transmission gates. To achieve all of the above we
                                                             can replace the FA* cell with the cell in figure 2(b)
              Figure1. A 4x4 Carry-Save multiplier           (called the FAB cell).

The functionality of the Carry-Save array multiplier is      B. CSA with Bypassing Technique
as follows: We assume that
                                                             The transmission gates in the FAB cell lock the inputs
and                                are unsigned numbers.     of the full adder to prevent any transitions. When
The bits are fed into an array of FA* cells (figure 2(a)).          , and a multiplexer propagates the sum input to
                                                             the sum output. When           , the sum output of the
                                                             full adder is passed. The carry input does not generate
                                                             any new value since the initial diagonal carry input is
                                                             always 0. So, no transmission gate is used to block it. If
                                                                     , to fix any erroneous carry generated from
                                                             previous computations, an AND gate is used before the
                                                             final adder to make the final diagonal carry output 0.
                                                             The whole structure of the modified multiplier is
                                                             presented in figure 3.


                  Figure2(a). FA* cell
D. Mixed Architectures

                                                          The mixed architecture is nothing but the combination
                                                          of Wallace tree and Array structure. The great timing
                                                          advantage of the Wallace tree along with the great
                                                          power advantage of the bypass scheme can be
                                                          combined in a mixed architecture. The figure for mixed
                                                          architecture is shown in figure 5.

                                                          In general, array multipliers offers dynamic power
                                                          saving but it fails to give a reduced area and fast speed
                                                          advantages because of their regular interconnection
                                                          pattern. So, tree multipliers are introduced to achieve
                                                          reduced area and fast speed, to achieve both delay and
                                                          power advantages it is better to use a different
                                                          architecture that is having two parts one is tree based
                                                          part and other is bypass architecture. The modified
   Figure3. 4 x 4 Carry Save Array Multiplier with        bypass technique offers minimum dynamic power
                       Bypass                             compared to normal carry save array multiplier. The
                                                          tree based part of the mixed architecture has enough
C. Wallace Tree Multiplier                                timing slack to be implemented using high threshold
                                                          voltage components.
Wallace tree is an efficient hardware implementation of
a digital circuit that multiplies two integers.           Two 8 bit values can be multiplied by splitting each
                                                          one of them in two 4 bit parts.




                Figure4. Wallace Tree

The Wallace tree has three steps:
    1. Multiply (that is - AND) each bit of one of the
       arguments, by each bit of the other, yielding
             results.
    2.   Reduce the number of partial products to two
         by layers of full and half adders.
    3.   Group the wires in two numbers, and add
         them with a conventional adder.                       Figure5. A 16 bit multiplication split in parts

The benefit of the Wallace tree is that there are only    If the first 8 bit value is (A,B) and the second is (C,D),
            reduction layers, and each layer has          four 8 bit products are generated,                       ,
propagation delay. As making the partial products is
                                                                        ,                and        . These
        and the final addition is                , the
                                                          four partial products shifted and added together
multiplication is only              , not much slower     generate the final 16 bit multiplication.
than addition (however, much more expensive in the
gate count). Naively adding partial products with         The key point behind operand splitting is to use
regular adders would require              time.           different multiplier architectures for each partial
                                                          multiplication. In the example of figure 5,
                                                                         and                are performed in
Wallace multipliers while the others in a bypass
architecture. So, from           and                          Functional Simulations of all the multipliers is carried
                                                              out using Xilinx Simulator, Isim.
performance is gained while from                       and
               power is gained. If half of one or both        IV. Conclusion
operands usually contain more 0s than 1s, this specific
half should be passed through the bypass multiplier for       In this work, we have implemented Multipliers for Low
greater power improvements. For example, the                  power Applications. For power optimization, two basic
architecture of figure 5 gives better results when            algorithms, namely Wallace Tree and Array Multiplier,
contains on average more 0s than 1s.                          are combined to exploit the low power and High
                                                              Performance gains from the individual architectures.
III. Performance Evaluation                                   This topology, called as mixed style Multiplier was
                                                              implemented on Spartan 3E FPGA and it was observed
The Performance Evaluation of Bypass Multipliers              that the power dissipation and the critical path delay
Was performed for the resolution of 4x4 using Xilinx          are fairly lower than the normal multiplier. Power
tool suite. When compared to other architectures, such        Measurements were performed using Xilinx “Xpower
as Wallace and normal multipliers, it is power efficient.     Analyzer “, for various test cases like counter type and
The test cases that were used for this purpose are            random patterns. It is possible to extend the resolution
generated in a random fashion. By combining 4x4               of the mixed style multiplier, without affecting the
Wallace tree and bypass multipliers, mixed style              performance. Also, this design can be implemented by
multiplier was implemented with a resolution of 8x8.          using standard cell libraries designed for low power,
The Performance of this topology was compared with            with ASIC Development Kit, for improving the
all other multipliers in various aspects. Mainly from the     performance relatively.
performance point of view, it was observed they
provide low switching power dissipation with a slight         V. References
increase in the delay.
                                                                  1) Dimitris Bekiaris, George Economakos and
The following table (Table I) shows                 various          Kiamal Pekmestzi, "A Mixed Style Multiplier
performance metrics of all the Multipliers.                          Architecture for Low Dynamic and Leakage
                                                                     Power      Dissipation,"    in    International
Multiplier    Bits   Switching      Critical   Area (in              Symposium on VLSI Design Automation and
                                                                     Test (VLSI-DAT). IEEE, 2010, pp. 258-261
Topology             Power          Path       terms of
                                                                  2) M. Karlsson, “A generalized carry-save adder
                     Dissipation    Delay      LUTs)                 array for digital signal processing,” in 4th
                     (mW)           (ns)                             Nordic Signal Processing Symposium. IEEE,
                                                                     2000, pp. 287–290.
Carry save    8x8    4.11           22.34      124                3) P. Meier, R. A. Rutenbar, and L. R. Carley,
Array                                                                “Exploring multiplier architecture and layout
Multiplier                                                           for low power,” in Custom Integrated Circuits
                                                                     Conference. IEEE, 1996, pp. 513–516.
Mixed         8x8    3.31           17.8       137                4) N. Weste and D. Harris, CMOS VLSI Design:
Style                                                                A Circuits and Systems Perspective - Third
                                                                     Edition. Addison-Wesley, 2004.
Multiplier
                                                                  5) C. C. Wang and G. N. Sung, “Low-power
                                                                     multiplier design using a bypassing
Wallace       4x4    2.67           12.782     31                    technique,” Journal of Signal Processing
                                                                     Systems, 2008.
Bypass        4x4    2.65           12.131     27                 6) S. Kim, S. Hong, M. Papaefthymiou, and W.
                                                                     E. Stark, “Low power parallel multiplier
                                                                     design for dsp applications through coefficient
Table I: Hardware utilization and Performance Metrics                optimization,” in 12th Annual International
                                                                     ASIC/SOC Conference. IEEE, 1999, pp. 286–
                                                                     290.
                                                                  7) G. Economakos and K. Anagnostopoulos, “Bit
                                                                     level architectural exploration technique for
                                                                     the design of low power multipliers,” in
                                                                     International Symposium on Circuits and
                                                                     Systems. IEEE, 2006.



    Figure6. Functional Simulation of Mixed style
                     Multiplier

Weitere ähnliche Inhalte

Was ist angesagt?

VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSISilicon Mentor
 
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.Suchitra goudar
 
New Hybrid Structure Based on Improved Switched Inductor Z-Source and Paralle...
New Hybrid Structure Based on Improved Switched Inductor Z-Source and Paralle...New Hybrid Structure Based on Improved Switched Inductor Z-Source and Paralle...
New Hybrid Structure Based on Improved Switched Inductor Z-Source and Paralle...IJPEDS-IAES
 
Adiabatic logic or clock powered logic
Adiabatic logic or clock powered logicAdiabatic logic or clock powered logic
Adiabatic logic or clock powered logicTuhinansu Pradhan
 
Low Power Adiabatic Logic Design
Low Power Adiabatic Logic DesignLow Power Adiabatic Logic Design
Low Power Adiabatic Logic DesignIOSRJECE
 
Ah2418191827
Ah2418191827Ah2418191827
Ah2418191827IJMER
 
Epcos Capacitors Dealers In India-System controls switchgear
Epcos Capacitors Dealers In India-System controls switchgearEpcos Capacitors Dealers In India-System controls switchgear
Epcos Capacitors Dealers In India-System controls switchgearsystemcontrols
 
Dual-Active-Bridge Converter for Bi-Directional PV Micro Inverter Application...
Dual-Active-Bridge Converter for Bi-Directional PV Micro Inverter Application...Dual-Active-Bridge Converter for Bi-Directional PV Micro Inverter Application...
Dual-Active-Bridge Converter for Bi-Directional PV Micro Inverter Application...IRJET Journal
 
High Step-Up Converter with Voltage Multiplier Module for Renewable Energy Sy...
High Step-Up Converter with Voltage Multiplier Module for Renewable Energy Sy...High Step-Up Converter with Voltage Multiplier Module for Renewable Energy Sy...
High Step-Up Converter with Voltage Multiplier Module for Renewable Energy Sy...IJRES Journal
 
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...VLSICS Design
 

Was ist angesagt? (15)

VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
 
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
 
New Hybrid Structure Based on Improved Switched Inductor Z-Source and Paralle...
New Hybrid Structure Based on Improved Switched Inductor Z-Source and Paralle...New Hybrid Structure Based on Improved Switched Inductor Z-Source and Paralle...
New Hybrid Structure Based on Improved Switched Inductor Z-Source and Paralle...
 
Adiabatic logic or clock powered logic
Adiabatic logic or clock powered logicAdiabatic logic or clock powered logic
Adiabatic logic or clock powered logic
 
Low Power Adiabatic Logic Design
Low Power Adiabatic Logic DesignLow Power Adiabatic Logic Design
Low Power Adiabatic Logic Design
 
Ah2418191827
Ah2418191827Ah2418191827
Ah2418191827
 
125
125125
125
 
It2415351540
It2415351540It2415351540
It2415351540
 
Epcos Capacitors Dealers In India-System controls switchgear
Epcos Capacitors Dealers In India-System controls switchgearEpcos Capacitors Dealers In India-System controls switchgear
Epcos Capacitors Dealers In India-System controls switchgear
 
Bl34395398
Bl34395398Bl34395398
Bl34395398
 
Dual-Active-Bridge Converter for Bi-Directional PV Micro Inverter Application...
Dual-Active-Bridge Converter for Bi-Directional PV Micro Inverter Application...Dual-Active-Bridge Converter for Bi-Directional PV Micro Inverter Application...
Dual-Active-Bridge Converter for Bi-Directional PV Micro Inverter Application...
 
High Step-Up Converter with Voltage Multiplier Module for Renewable Energy Sy...
High Step-Up Converter with Voltage Multiplier Module for Renewable Energy Sy...High Step-Up Converter with Voltage Multiplier Module for Renewable Energy Sy...
High Step-Up Converter with Voltage Multiplier Module for Renewable Energy Sy...
 
Il2616361640
Il2616361640Il2616361640
Il2616361640
 
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...
 

Andere mochten auch

Evaluation question 2
Evaluation question 2Evaluation question 2
Evaluation question 2HarryWinter
 
Ppc proceso 12-15-1015213_211001023_4785060
Ppc proceso 12-15-1015213_211001023_4785060Ppc proceso 12-15-1015213_211001023_4785060
Ppc proceso 12-15-1015213_211001023_4785060Camilo Yepes
 
Quickdinero Compliance Training Module 3
Quickdinero Compliance Training Module 3Quickdinero Compliance Training Module 3
Quickdinero Compliance Training Module 3Quickdinero_Inc
 
Is Your Face In The Book?
Is Your Face In The Book?Is Your Face In The Book?
Is Your Face In The Book?Andy Smith
 
Session 4 Tp 4
Session 4 Tp 4Session 4 Tp 4
Session 4 Tp 4githe26200
 

Andere mochten auch (7)

Evaluation question 2
Evaluation question 2Evaluation question 2
Evaluation question 2
 
Ppc proceso 12-15-1015213_211001023_4785060
Ppc proceso 12-15-1015213_211001023_4785060Ppc proceso 12-15-1015213_211001023_4785060
Ppc proceso 12-15-1015213_211001023_4785060
 
Quickdinero Compliance Training Module 3
Quickdinero Compliance Training Module 3Quickdinero Compliance Training Module 3
Quickdinero Compliance Training Module 3
 
xyzmo 4 CNBS
xyzmo 4 CNBSxyzmo 4 CNBS
xyzmo 4 CNBS
 
Smo Kravchuk
Smo KravchukSmo Kravchuk
Smo Kravchuk
 
Is Your Face In The Book?
Is Your Face In The Book?Is Your Face In The Book?
Is Your Face In The Book?
 
Session 4 Tp 4
Session 4 Tp 4Session 4 Tp 4
Session 4 Tp 4
 

Ähnlich wie 439 442

Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersKumar Goud
 
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...IRJET Journal
 
Low Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth MultiplierLow Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth Multiplieridescitation
 
IRJET- Review on Various Topologis used for Decoupling of Fluctuating Power i...
IRJET- Review on Various Topologis used for Decoupling of Fluctuating Power i...IRJET- Review on Various Topologis used for Decoupling of Fluctuating Power i...
IRJET- Review on Various Topologis used for Decoupling of Fluctuating Power i...IRJET Journal
 
VLSI projects 2014
VLSI projects 2014VLSI projects 2014
VLSI projects 2014Senthilvel S
 
Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...VLSICS Design
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...ijsrd.com
 
A Low Power Row and Column Compression for High-Performance Multiplication on...
A Low Power Row and Column Compression for High-Performance Multiplication on...A Low Power Row and Column Compression for High-Performance Multiplication on...
A Low Power Row and Column Compression for High-Performance Multiplication on...ijsrd.com
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Scienceinventy
 

Ähnlich wie 439 442 (20)

Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
Design of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS TechnologyDesign of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS Technology
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select Adders
 
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
 
Low Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth MultiplierLow Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth Multiplier
 
IRJET- Review on Various Topologis used for Decoupling of Fluctuating Power i...
IRJET- Review on Various Topologis used for Decoupling of Fluctuating Power i...IRJET- Review on Various Topologis used for Decoupling of Fluctuating Power i...
IRJET- Review on Various Topologis used for Decoupling of Fluctuating Power i...
 
VLSI projects 2014
VLSI projects 2014VLSI projects 2014
VLSI projects 2014
 
Introduction
IntroductionIntroduction
Introduction
 
Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...
 
Hv3513651369
Hv3513651369Hv3513651369
Hv3513651369
 
2
22
2
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
 
A Low Power Row and Column Compression for High-Performance Multiplication on...
A Low Power Row and Column Compression for High-Performance Multiplication on...A Low Power Row and Column Compression for High-Performance Multiplication on...
A Low Power Row and Column Compression for High-Performance Multiplication on...
 
Gy3412771280
Gy3412771280Gy3412771280
Gy3412771280
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Science
 

Mehr von Editor IJARCET

Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationEditor IJARCET
 
Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Editor IJARCET
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Editor IJARCET
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Editor IJARCET
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Editor IJARCET
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Editor IJARCET
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Editor IJARCET
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Editor IJARCET
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Editor IJARCET
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Editor IJARCET
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Editor IJARCET
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Editor IJARCET
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Editor IJARCET
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Editor IJARCET
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Editor IJARCET
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Editor IJARCET
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Editor IJARCET
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Editor IJARCET
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Editor IJARCET
 

Mehr von Editor IJARCET (20)

Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturization
 
Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107
 

Kürzlich hochgeladen

Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 

Kürzlich hochgeladen (20)

Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 

439 442

  • 1. Techniques for Reducing Power in Multipliers V.Alekhya#1, B.Srinivas*2 Department of ECE, MVGR College Of Engineering Vizianagaram, AP, India 1 alekhya.479@gmail.com 2 srinivas.b@mvgrce.edu.in Abstract— In this work, a new topology was proposed to necessity for the design and implementation of efficient optimize the power dissipation of Multipliers. Low power power-aware devices. digital Multiplier Design based on bypassing technique mainly used to reduce the switching power dissipation. There are two main choices for the design of a While this technique offers great dynamic power savings multiplier. The first is the Wallace tree form, which has mainly in array multipliers, due to their regular the advantage of a logarithmic circuit delay, and the interconnection scheme, it misses the reduced area and high speed advantages of tree multipliers. Therefore, second is the array multiplier form, like the Carry-Save mixed style architecture, using a traditional tree based array, where the delay is linear. On the other hand the part, combined with a bypass, array based part, is array multiplier has a regular structure, which leads to a proposed. Prototyping of all these multiplier dense layout making it ideal for fabrication. Architectures has been carried out on Spartan3E FPGA. By Evaluating the performance of these Multiplier The Wallace tree has irregular structure, occupies more architectures using Xilinx ISE tool suite , it has been area on the wafer, and needs greater wiring for cell found that while the bypass technique offers the interconnections. This point is crucial for present and minimum dynamic power consumption, the mixed emerging IC technologies, where interconnection plays architecture offers a delay*power product improvement , compared to all other architectures. a predominant role. This factor makes the Wallace tree inappropriate for low power applications. I. INTRODUCTION In this paper, we present a technique to minimize Low power issues have become an important factor in power dissipation in digital multipliers, starting from modern VLSI design. The limited power capacity dynamic power and concentrating on the switching systems had given rise to more power aware designs by activity. There have been proposed a lot of techniques designers. Now-a-days, power has become a crucial to reduce the switching activity of a logic circuit. In the factor than area or speed. However, different sequential world one of the most successful is clock implementation technologies present different power gating, where an enable signal inhibits the clock, isolating a large block of the circuit. This technique optimization opportunities. In technologies above 0.1 eliminates activity and thus, power consumption. For m, dynamic power is the dominant contribution to the example, in, clock gating is applied in pipelined array total power dissipated while in smaller technologies; multipliers with significant power gains. In pure leakage power is gaining more importance. combinational circuits the main effort of research has been to rearrange the tree of gates in a more profitable Dynamic power dissipation is the result of charging the scheme, or to insert clock gated registers which provide load capacitances in a circuit. It is given by equation the desired isolation. where is the The contribution of this paper is the evaluation of a output capacitance, the supply voltage, E(sw) technique similar to clock gating. To isolate parts of a (called switching activity) is the average number of circuit, transmission gates are used as low delay and transitions and the clock frequency. area overhead bypass switches. An array multiplier with bypass transmission gates can offer power Leakage power dissipation is divided in two major reductions of more than 50%. Similar bypass ideas parts, the sub-threshold leakage and the gate-oxide with different isolating components have also been leakage. The sub-threshold leakage is caused by short reported in the past, in. However, our contribution channel effects and low threshold voltage (Vth), while moves one step further and proposes a mixed the gate-oxide leakage is exponentially increasing with architecture, to address both dynamic power dissipation decreasing oxide thickness. and performance, by doing half of the multiplication through an array structure, with bypass transmission In the past, different architectural optimizations have gates, and the other half through a Wallace tree. This been applied in order to minimize dynamic power mixed architecture offers a delay*power product dissipation in arithmetic circuits, and especially in improvement ranging from 1.2x to 6.5x, compared to digital multipliers. Multipliers are very important all other architectures. devices in DSP applications, like for example FIR filters, leading to excessive power consumption. Minimization of the switching activity in a digital Consequently, the design of low power multipliers is a circuit can be performed by isolating and blocking units producing non-valuable partial results, in order to
  • 2. save power. To perform isolation, transmission gates can be used, as ideal switches with small power consumption, propagation delay similar to the inverter, and small area. Isolation by transmission gates can be applied to any kind of logic circuit. However in this paper we are considering digital multipliers, starting with the canonical and widely used Carry-Save array topology. II. Basic Architectures A. Carry Save Array Multiplier Figure2(b). FAB Cell The Carry-Save array multiplier is a straightforward implementation of vector multiplication. It is preferred Each FA* cell performs the multiplication in some implementations because of its canonical using an AND gate and then adds the result with the interconnect topology. It consists of a partial product incoming carry bits, to produce an output sum and an reduction tree, which is used to calculate partial output carry. All FA* cells are appropriately connected products in Carry-Save redundant form, and a final (sums and carries) as shown in figure 1, to perform the chain adder to transform the redundant form in normal multiplication. The final adder shown in figure 1 is binary form. A 4x4 Carry-Save multiplier is shown in used to merge the sums and carries from the last row of figure 1. the array, since in every row the carry bits are not immediately added but rather propagated to the row below. We can see that when is 0 then the corresponding diagonal cells are functioning unnecessarily. In all these cells the partial products and the carry inputs are zero for and this chain does not contribute to the formation of the product. Consequently, the sum output of the above cell can bypass this unimportant diagonal with the use of transmission gates. To achieve all of the above we can replace the FA* cell with the cell in figure 2(b) Figure1. A 4x4 Carry-Save multiplier (called the FAB cell). The functionality of the Carry-Save array multiplier is B. CSA with Bypassing Technique as follows: We assume that The transmission gates in the FAB cell lock the inputs and are unsigned numbers. of the full adder to prevent any transitions. When The bits are fed into an array of FA* cells (figure 2(a)). , and a multiplexer propagates the sum input to the sum output. When , the sum output of the full adder is passed. The carry input does not generate any new value since the initial diagonal carry input is always 0. So, no transmission gate is used to block it. If , to fix any erroneous carry generated from previous computations, an AND gate is used before the final adder to make the final diagonal carry output 0. The whole structure of the modified multiplier is presented in figure 3. Figure2(a). FA* cell
  • 3. D. Mixed Architectures The mixed architecture is nothing but the combination of Wallace tree and Array structure. The great timing advantage of the Wallace tree along with the great power advantage of the bypass scheme can be combined in a mixed architecture. The figure for mixed architecture is shown in figure 5. In general, array multipliers offers dynamic power saving but it fails to give a reduced area and fast speed advantages because of their regular interconnection pattern. So, tree multipliers are introduced to achieve reduced area and fast speed, to achieve both delay and power advantages it is better to use a different architecture that is having two parts one is tree based part and other is bypass architecture. The modified Figure3. 4 x 4 Carry Save Array Multiplier with bypass technique offers minimum dynamic power Bypass compared to normal carry save array multiplier. The tree based part of the mixed architecture has enough C. Wallace Tree Multiplier timing slack to be implemented using high threshold voltage components. Wallace tree is an efficient hardware implementation of a digital circuit that multiplies two integers. Two 8 bit values can be multiplied by splitting each one of them in two 4 bit parts. Figure4. Wallace Tree The Wallace tree has three steps: 1. Multiply (that is - AND) each bit of one of the arguments, by each bit of the other, yielding results. 2. Reduce the number of partial products to two by layers of full and half adders. 3. Group the wires in two numbers, and add them with a conventional adder. Figure5. A 16 bit multiplication split in parts The benefit of the Wallace tree is that there are only If the first 8 bit value is (A,B) and the second is (C,D), reduction layers, and each layer has four 8 bit products are generated, , propagation delay. As making the partial products is , and . These and the final addition is , the four partial products shifted and added together multiplication is only , not much slower generate the final 16 bit multiplication. than addition (however, much more expensive in the gate count). Naively adding partial products with The key point behind operand splitting is to use regular adders would require time. different multiplier architectures for each partial multiplication. In the example of figure 5, and are performed in
  • 4. Wallace multipliers while the others in a bypass architecture. So, from and Functional Simulations of all the multipliers is carried out using Xilinx Simulator, Isim. performance is gained while from and power is gained. If half of one or both IV. Conclusion operands usually contain more 0s than 1s, this specific half should be passed through the bypass multiplier for In this work, we have implemented Multipliers for Low greater power improvements. For example, the power Applications. For power optimization, two basic architecture of figure 5 gives better results when algorithms, namely Wallace Tree and Array Multiplier, contains on average more 0s than 1s. are combined to exploit the low power and High Performance gains from the individual architectures. III. Performance Evaluation This topology, called as mixed style Multiplier was implemented on Spartan 3E FPGA and it was observed The Performance Evaluation of Bypass Multipliers that the power dissipation and the critical path delay Was performed for the resolution of 4x4 using Xilinx are fairly lower than the normal multiplier. Power tool suite. When compared to other architectures, such Measurements were performed using Xilinx “Xpower as Wallace and normal multipliers, it is power efficient. Analyzer “, for various test cases like counter type and The test cases that were used for this purpose are random patterns. It is possible to extend the resolution generated in a random fashion. By combining 4x4 of the mixed style multiplier, without affecting the Wallace tree and bypass multipliers, mixed style performance. Also, this design can be implemented by multiplier was implemented with a resolution of 8x8. using standard cell libraries designed for low power, The Performance of this topology was compared with with ASIC Development Kit, for improving the all other multipliers in various aspects. Mainly from the performance relatively. performance point of view, it was observed they provide low switching power dissipation with a slight V. References increase in the delay. 1) Dimitris Bekiaris, George Economakos and The following table (Table I) shows various Kiamal Pekmestzi, "A Mixed Style Multiplier performance metrics of all the Multipliers. Architecture for Low Dynamic and Leakage Power Dissipation," in International Multiplier Bits Switching Critical Area (in Symposium on VLSI Design Automation and Test (VLSI-DAT). IEEE, 2010, pp. 258-261 Topology Power Path terms of 2) M. Karlsson, “A generalized carry-save adder Dissipation Delay LUTs) array for digital signal processing,” in 4th (mW) (ns) Nordic Signal Processing Symposium. IEEE, 2000, pp. 287–290. Carry save 8x8 4.11 22.34 124 3) P. Meier, R. A. Rutenbar, and L. R. Carley, Array “Exploring multiplier architecture and layout Multiplier for low power,” in Custom Integrated Circuits Conference. IEEE, 1996, pp. 513–516. Mixed 8x8 3.31 17.8 137 4) N. Weste and D. Harris, CMOS VLSI Design: Style A Circuits and Systems Perspective - Third Edition. Addison-Wesley, 2004. Multiplier 5) C. C. Wang and G. N. Sung, “Low-power multiplier design using a bypassing Wallace 4x4 2.67 12.782 31 technique,” Journal of Signal Processing Systems, 2008. Bypass 4x4 2.65 12.131 27 6) S. Kim, S. Hong, M. Papaefthymiou, and W. E. Stark, “Low power parallel multiplier design for dsp applications through coefficient Table I: Hardware utilization and Performance Metrics optimization,” in 12th Annual International ASIC/SOC Conference. IEEE, 1999, pp. 286– 290. 7) G. Economakos and K. Anagnostopoulos, “Bit level architectural exploration technique for the design of low power multipliers,” in International Symposium on Circuits and Systems. IEEE, 2006. Figure6. Functional Simulation of Mixed style Multiplier