SlideShare ist ein Scribd-Unternehmen logo
1 von 40
1Microelectronics Processing Course - J. Salzman - Jan. 2002
Microelectronics Processing
Chemical Vapor Deposition
2Microelectronics Processing Course - J. Salzman - Jan. 2002
Thin film deposition systemsThin film deposition systems
CVD
PVD
Spin-on
Electrolytic deposition
3Microelectronics Processing Course - J. Salzman - Jan. 2002
CVD depositionCVD deposition
Chemical Vapor Deposition is the formation of a
non-volatile solid film on a substrate by the reaction
of vapor phase chemicals (reactants) that contain the
required constituents.
The reactant gases are introduced into a reaction
chamber and are decomposed and reacted at a heated
surface to form the thin film.
4Microelectronics Processing Course - J. Salzman - Jan. 2002
Examples of CVD filmsExamples of CVD films
5Microelectronics Processing Course - J. Salzman - Jan. 2002
CVD Systems
AP-CVD
LP-CVD
PE-CVD
HDP-CVD
PH-CVD (CVD writing)
6Microelectronics Processing Course - J. Salzman - Jan. 2002
CVD systemsCVD systems
Horizontal APCVD Reactor
7Microelectronics Processing Course - J. Salzman - Jan. 2002
Steps involved in a CVD processSteps involved in a CVD process
(schematic)(schematic)
8Microelectronics Processing Course - J. Salzman - Jan. 2002
Steps involved in a CVD processSteps involved in a CVD process
(schematic)(schematic)
3. Adsorption of reactants on the wafer surface.
4. Surface processes, including chemical decomposition or
reaction, surface migration to attachment sites (such as atomic-
level ledges and kinks), site incorporation, and other surface
reactions.
5. Desorption of byproducts from the surface.
6. Transport of byproducts by diffusion through the boundary
layer and back to the main gas stream.
7. Transport of byproducts by forced convection away from the
deposition region.
1. Transport of reactants by
forced convection to the
deposition region.
2. Transport of reactants by
diffusion from the main gas
stream through the boundary
layer to the wafer surface.
9Microelectronics Processing Course - J. Salzman - Jan. 2002
Steps involved in a CVD processSteps involved in a CVD process
(schematic)(schematic)
10Microelectronics Processing Course - J. Salzman - Jan. 2002
Steps involved in a CVD processSteps involved in a CVD process
(schematic)(schematic)
11Microelectronics Processing Course - J. Salzman - Jan. 2002
Steps involved in a CVD processSteps involved in a CVD process
(limiting processes)(limiting processes)
1. Gas phase process (mainly diffusion to substrate).
2. Surface process (mainly reaction)
12Microelectronics Processing Course - J. Salzman - Jan. 2002
CVD kinetic growth modelCVD kinetic growth model
We approximate the flux Fl by the linear formula
F1
= hG
(CG
–CS
)
where CG
and CS
are the concentrations of the
SiCI4
(molecules per cubic centimeter) in the bulk
of the gas and at the surface, respectively, and hG
is the gas-phase mass-transfer coefficient.
The flux consumed by the chemical-reaction taking place at the surface of
the growing film F2
is approximated by the formula
F2
= kS
CS
where kS
is the chemical surface-reaction rate constant.
In steady state F1
= F2
= F. Using this condition, we get
GS
G
S
hk
C
C
/1+
=
13Microelectronics Processing Course - J. Salzman - Jan. 2002
CVD kinetic growth model-IICVD kinetic growth model-II
We can now express the growth rate of the silicon film by writing
where N1
is the number of silicon atoms incorporated into a unit volume of the film.
Its value for silicon is 5.0×1022
cm-3
. Noting that CG
= YCT
where CT
is the total
number of molecules per cubic centimeter in the gas, we get the expression for the
growth rate,
11 N
C
hk
hk
N
F
v G
GS
GS
+
==
Y
N
C
hk
hk
N
F
v T
GS
GS
11 +
==
The growth rate at a given mole fraction is determined by the smaller of hG
or kS
. In
the limiting cases the growth rate will be given either by
[surface-reaction control]
or by
[mass-transfer control].Yk
N
C
v S
T
1
≅
Yk
N
C
v S
T
1
≅
hGY
14Microelectronics Processing Course - J. Salzman - Jan. 2002
CVD growth model – Gas phase massCVD growth model – Gas phase mass
transfertransfer
The “Stagnant-film” model of
gas-phase mass-transfer
δ
SG
G
CC
DF
−
=1
δ
G
G
D
h =
Boundary layer theory: δ increases with
distance in the direction of gas flow
(from Newton’s second low).
DG – diffusivity of reactant species
δ - boundary layer thickness
15Microelectronics Processing Course - J. Salzman - Jan. 2002
CVD growth model – Gas phase massCVD growth model – Gas phase mass
transfertransfer
The flow of reactants F is F ∝ DG
δ-1
16Microelectronics Processing Course - J. Salzman - Jan. 2002
Tilted CVD susceptorTilted CVD susceptor
The susceptor in a horizontal epitaxial reactor is tilted so that
the cross-sectional area of the chamber is decreased,
increasing the gas velocity along the susceptor. This
compensates for both the boundary layer and depletion
effects.
17Microelectronics Processing Course - J. Salzman - Jan. 2002
Áp suất cao, độ dài khuếch tán nhỏ, tốc độ phản ứng nhanh, tốc độ phát triển
màng bị giới hạn bởi sự truyền khí trong vùng biên. Dùng để kết tủa
những màng điện môi dày như silicon nitride, hạn chế của phương pháp
này là tạp.
III.1 APCVD (atmospheric pressure
CVD)
18Microelectronics Processing Course - J. Salzman - Jan. 2002
• Giảm áp suất nhằm giảm các phản ứng ở pha khí không mong muốn làm cho
độ đòng đều màng tăng.
• Yêu cầu áp suất thấp chiều dài khuếch tán giảm , hgcao nên có thể điều khiển
được tốc độ phản ứng
• Có thể chế tạo màng bảo giác chất lượng tốt.
• Dùng cho điện môi và bán dẫn.
III.2 LPCVD (low pressure CVD)
Y
N
C
hk
hk
v T
GS
GS
1+
=
δ
G
G
D
h =
Recall that
and The key new point isThe key new point is total
G
P
D
1
∝
19Microelectronics Processing Course - J. Salzman - Jan. 2002
 Các ion khí hiếm được tăng cường bởi thế AC ( RF ) hay DC tới va
chạm với precuser tại bề mặt để tạo phản ứng.
 Đưa plasma (năng lượng điện trường 1eV = 11600 K ) vào CVD để làm
ion phân ly trong plasma, dể dàng tạo phản ứng hóa học ở nhiệt độ thấp
PECVD ( đỉnh cao của CVD).
 Thường dùng làm kết tủa lớp silicon nitride thụ động hóa.
III.3 PECVD (plasma-enhanced CVD)
20Microelectronics Processing Course - J. Salzman - Jan. 2002
Ví dụ sử dụng quá trình CVD trong công nghệ sảnVí dụ sử dụng quá trình CVD trong công nghệ sản
xuất bán dẫn.xuất bán dẫn.
màng
Phương trình phản ứng Nhiệt độ (0
C)
SiO2
SiH4
+ O2
-> SiO2
+ 2H2
Si(OC2
H5
)4
-> SiO2
+ gas.RP
SiCl2
H2
+ N2
O -> SiO2
+ 2N2
+ 2HCl
SiH4
+ CO2
H2
-> SiO2
+ gas.RP
400-450
650-700
850-900
850-950
Si3
N4
3SiH2
Cl2
+ 4NH3
-> Si3
N4
+ 6HCl + 6H2 700-900
Polysilico
n
SiH4
-> Si + 2H2 600-650
Tungsten
2WF6
+ 3Si -> 2W + 3SiF4
WF6
+ SiH4
-> W + SiF4
+ 2HF + H2
300
400-450
21Microelectronics Processing Course - J. Salzman - Jan. 2002
22Microelectronics Processing Course - J. Salzman - Jan. 2002
IV. Kiểu bình phản ứng CVD.
 Bình phản ứng thành bình nóng.
 Bình phản ứng thành bình lạnh.
 Bình phản ứng liên tục.
 Bình phản ứng CVD ghép điện plasma.
CVD bao gồm nhiều kiểu bình phản ứng và kiểu xử lý. Việc lựa chọn
kiểu bình phản ứng phụ thuộc vào ứng dụng thông qua các yêu cầu đối
với vật liệu đế, hình thái học lớp phủ độ dày và độ đồng đếu của lớp
màng.
23Microelectronics Processing Course - J. Salzman - Jan. 2002
Advantages of CVD processesAdvantages of CVD processes
CVD processes are ideally suited for depositing thin layers of materials
on some substrate. In contrast to some other deposition processes
which we will encounter later, CVD layers always follow the contours of
the substrate: They are conformal to the substrate as shown below.
24Microelectronics Processing Course - J. Salzman - Jan. 2002
Disadvantages of CVD processesDisadvantages of CVD processes
The two most important ones (and the only ones we will
address here) are:
1. They are not possible for some materials; there simply
is no suitable chemical reaction.
2. They are generally not suitable for mixtures of
materials.
25Microelectronics Processing Course - J. Salzman - Jan. 2002
LP-CVDLP-CVD
Y
N
C
hk
hk
v T
GS
GS
1+
=
δ
G
G
D
h =
Recall that
and
The key new point isThe key new point is
total
G
P
D
1
∝
26Microelectronics Processing Course - J. Salzman - Jan. 2002
Gas depletion in LPCVD reactorGas depletion in LPCVD reactor
In the surface reaction limited regime
T is critical (10
C). Ramping T compensates
depletion.
27Microelectronics Processing Course - J. Salzman - Jan. 2002
Plasma enhanced CVD systemPlasma enhanced CVD system
(PECVD)(PECVD)
As the thermal budget gets more and more constrained while more
and more layers need to be added for multi-layer metallization, we
want to come down with the temperature for the oxide ( or other)
CVD processes.
One way for doing this is to supply the necessary energy for the
chemical reaction by ionizing the gas, thus forming a plasma.
28Microelectronics Processing Course - J. Salzman - Jan. 2002
PECVD properties
 Low substrate
temperature
 Conformal film
 Not stoichiometric film
 By-products incorporated
 Outgassing
 Cracking
 Peeling
29Microelectronics Processing Course - J. Salzman - Jan. 2002
High Density Plasma CVD systemsHigh Density Plasma CVD systems
(HDP-CVD)(HDP-CVD)
• ECR
• ICP
A separate RF bias sputtering
planarization
30Microelectronics Processing Course - J. Salzman - Jan. 2002
CVD of Si - EpitaxyCVD of Si - Epitaxy
When SiH4 gas is used in a CVD reactor, a Si layer
is deposited on the wafer surface. The size of the
crystallites depends on the deposition temperature.
At high enough temperature, the ad-atoms have
enough kinetic energy to move on the surface and
align themselves with the underlying Si.
This is an epitaxial layer, and the process is called
Epitaxy instead of CVD.
At lower deposition temperatures, the layer is
poly-crystalline Si (consisting of small crystallites)
31Microelectronics Processing Course - J. Salzman - Jan. 2002
Si EpitaxySi Epitaxy
The chemical reaction that produces the
Si is fairly simple:
SiCl4(g)+2H2(g)=(1000-1200o
C)=Si(s)+4HCl(g)
Instead of SiCl4 you may want to
use SiHXCl4-X
32Microelectronics Processing Course - J. Salzman - Jan. 2002
Epitaxial FurnaceEpitaxial Furnace
33Microelectronics Processing Course - J. Salzman - Jan. 2002
Effect of SiClEffect of SiCl44 concentration on Siconcentration on Si
depositiondeposition
Polysilicon deposition
occurs for growth rates
exceeding 2 μm/min.
Etching of the surface will
occur for mole fraction
concentrations exceeding
28%.
34Microelectronics Processing Course - J. Salzman - Jan. 2002
CVD kinetic growth modelCVD kinetic growth model
Arrhenius plot of growth velocity
vs. 1/T for CVD process
Deposition rate vs. 1/T for Si deposited
by APCVD using various source gases.
Partial pressure of the reactant gas was
0.8 torr. H2 used as carrier gas for solid
curves. Using N2 as diluent shifts SiH4
curve to the right.
35Microelectronics Processing Course - J. Salzman - Jan. 2002
Si epitaxy – controlling doping profilesSi epitaxy – controlling doping profiles
Epitaxy is definitely needed if a doping profile is
required where the resistivity in regions near the
surface is larger than in the bulk. By diffusion, you
can always lower the resistivity and even change the
doping type, but increasing the resistivity by diffusion
is not realistically possible.
36Microelectronics Processing Course - J. Salzman - Jan. 2002
Examples for CVD Processes Used inExamples for CVD Processes Used in
Semiconductor ManufacturingSemiconductor Manufacturing
Layer Reaction equations Temperature (ºC)
SiO2
LTO
TEOS
HTO
SiH4
+ O2
-> SiO2
+ 2H2
Si(OC2
H5
)4
-> SiO2
+ gas.RP
SiCl2
H2
+ N2
O -> SiO2
+ 2N2
+ 2HCl
SiH4
+ CO2
H2
-> SiO2
+ gas.RP
400-450
650-700
850-900
850-950
Si3
N4
3SiH2
Cl2
+ 4NH3
-> Si3
N4
+ 6HCl + 6H2 700-900
Polysilico
n
SiH4
-> Si + 2H2 600-650
Tungsten
selective
blanket
2WF6
+ 3Si -> 2W + 3SiF4
WF6
+ SiH4
-> W + SiF4
+ 2HF + H2
300
400-450
37Microelectronics Processing Course - J. Salzman - Jan. 2002
Oxide CVDOxide CVD
SiH2
CI2
+ 2NO2
= (900 °C) = SiO2
+ 2HCI + 2N2
There are several possibilities, one is
While this reaction was used until about 1985, a better reaction is offered by
the "TEOS" process.
Si(C2
H5
O)4
= (720 °C) = SiO2
+ 2H2
O + C2
H4
.
Si(C2
H5
O)4
has the chemical name Tetraethylorthosilicate
38Microelectronics Processing Course - J. Salzman - Jan. 2002
Oxide CVDOxide CVD
39Microelectronics Processing Course - J. Salzman - Jan. 2002
SiSi33NN44 DepositionDeposition
•We don't "nitride" the Si, analogous to oxidations, by heating the Si in a N2
(actually we do - on occasion), because Si3
N4
is so impenetrable to almost
everything - including nitrogen - that the reaction stops after a few nm. There is
simply no way to grow a "thick" nitride layer thermally.
•Also, don't forget: Si3
N4
is always producing tremendous stress, and you don't want
to have it directly on the Si without a buffer oxide in between. In other words: We
need a CVD process for nitride.
Well, it becomes boring now:
•Take your CVD furnace from before, and use a suitable reaction, e.g.
3SiH2
Cl2
+ 4NH3
=(...o
C)= Si3
N4
+ 2HCl + 1,5 H2
.
40Microelectronics Processing Course - J. Salzman - Jan. 2002
Tungsten (W) CVDTungsten (W) CVD
•Ironically, W-CVD comes straight form nuclear power technology: High
purity Uranium (chemical symbol U) is made by a CVD process using
UF6
as the gas that decomposes at high temperature.
•W is chemically very similar to U, so we use WF6
for W-CVD.
•A CVD furnace, however, is not good enough anymore. W-CVD needed
its own equipment, painfully (and expensively) developed a decade ago.
•We will not go into details, however. CVD methods, although quite
universally summarily described here, are all rather specialized and the
furnace type reactor referred to here, is more an exception than the rule.

Weitere ähnliche Inhalte

Was ist angesagt?

Module 5 functional materials
Module 5 functional materialsModule 5 functional materials
Module 5 functional materialsMattSmith321834
 
Solid solution strengthening
Solid solution strengtheningSolid solution strengthening
Solid solution strengtheningJAYESH PAREKH
 
Vacuum Science and Technology for Thin Film Device Processing
Vacuum Science and Technology for Thin Film Device ProcessingVacuum Science and Technology for Thin Film Device Processing
Vacuum Science and Technology for Thin Film Device Processingcdtpv
 
Pawan CO2 REDUCTION PPT
Pawan CO2 REDUCTION PPTPawan CO2 REDUCTION PPT
Pawan CO2 REDUCTION PPTPawan Kumar
 
efect of ductile to brittle transition temperture
efect of ductile to brittle transition tempertureefect of ductile to brittle transition temperture
efect of ductile to brittle transition temperturesanjay sahoo
 
Perovskite solar cells
Perovskite solar cellsPerovskite solar cells
Perovskite solar cellshadi maghsoudi
 
Ductile to brittle transition
Ductile to brittle transitionDuctile to brittle transition
Ductile to brittle transitionBilal
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Depositionaroosa khan
 
Chemical vapor deposition (cvd)
Chemical vapor deposition (cvd)Chemical vapor deposition (cvd)
Chemical vapor deposition (cvd)Khalid Raza
 
Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]Milan Van Bree
 
Surface defects in crystals
Surface defects in crystalsSurface defects in crystals
Surface defects in crystalsARUN K S
 
Solid Oxide Fuel Cells
Solid Oxide Fuel CellsSolid Oxide Fuel Cells
Solid Oxide Fuel CellsRitamGhosh12
 
seawater desalination using MoS2 nanopore POWER POINT
seawater desalination using MoS2 nanopore POWER POINTseawater desalination using MoS2 nanopore POWER POINT
seawater desalination using MoS2 nanopore POWER POINTprincely oriomojor
 
Part III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor DepositionPart III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor DepositionAnthony Liu
 

Was ist angesagt? (20)

Module 5 functional materials
Module 5 functional materialsModule 5 functional materials
Module 5 functional materials
 
Solid solution strengthening
Solid solution strengtheningSolid solution strengthening
Solid solution strengthening
 
Vacuum Science and Technology for Thin Film Device Processing
Vacuum Science and Technology for Thin Film Device ProcessingVacuum Science and Technology for Thin Film Device Processing
Vacuum Science and Technology for Thin Film Device Processing
 
Pawan CO2 REDUCTION PPT
Pawan CO2 REDUCTION PPTPawan CO2 REDUCTION PPT
Pawan CO2 REDUCTION PPT
 
Nucleation & crystallization
Nucleation & crystallizationNucleation & crystallization
Nucleation & crystallization
 
efect of ductile to brittle transition temperture
efect of ductile to brittle transition tempertureefect of ductile to brittle transition temperture
efect of ductile to brittle transition temperture
 
Preparation of thin films
Preparation of thin filmsPreparation of thin films
Preparation of thin films
 
Perovskite solar cells
Perovskite solar cellsPerovskite solar cells
Perovskite solar cells
 
High Entropy alloys
High Entropy alloysHigh Entropy alloys
High Entropy alloys
 
Pourbaix diagram
Pourbaix diagramPourbaix diagram
Pourbaix diagram
 
Ductile to brittle transition
Ductile to brittle transitionDuctile to brittle transition
Ductile to brittle transition
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Deposition
 
Chemical vapor deposition (cvd)
Chemical vapor deposition (cvd)Chemical vapor deposition (cvd)
Chemical vapor deposition (cvd)
 
Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]
 
Surface defects in crystals
Surface defects in crystalsSurface defects in crystals
Surface defects in crystals
 
Solid Oxide Fuel Cells
Solid Oxide Fuel CellsSolid Oxide Fuel Cells
Solid Oxide Fuel Cells
 
seawater desalination using MoS2 nanopore POWER POINT
seawater desalination using MoS2 nanopore POWER POINTseawater desalination using MoS2 nanopore POWER POINT
seawater desalination using MoS2 nanopore POWER POINT
 
Electric arc furnace
Electric arc furnaceElectric arc furnace
Electric arc furnace
 
Part III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor DepositionPart III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor Deposition
 
SOLID OXIDE FUEL CELLS
SOLID OXIDE FUEL CELLSSOLID OXIDE FUEL CELLS
SOLID OXIDE FUEL CELLS
 

Andere mochten auch

Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour DepositionViji Vijitha
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour depositionSethu Ram
 
Cvd & pvd by shreya
Cvd & pvd by shreyaCvd & pvd by shreya
Cvd & pvd by shreyaShreya Modi
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Tapan Patel
 
CVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUESCVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUESHHV SOLAR Pvt Ltd
 
Part 6 thin film depositoin
Part 6  thin film depositoinPart 6  thin film depositoin
Part 6 thin film depositoinMaheen Iqbal
 
CVDLabReport
CVDLabReportCVDLabReport
CVDLabReportJanet Mok
 
DLC coatings in oil and gas production
DLC coatings in oil and gas productionDLC coatings in oil and gas production
DLC coatings in oil and gas productionTomasz Liskiewicz
 
Cardiovascular Disease.ppt
Cardiovascular Disease.pptCardiovascular Disease.ppt
Cardiovascular Disease.pptShama
 
Comparison of 100 torr and 200 torr bpsg layer deposited using sub atmospheri...
Comparison of 100 torr and 200 torr bpsg layer deposited using sub atmospheri...Comparison of 100 torr and 200 torr bpsg layer deposited using sub atmospheri...
Comparison of 100 torr and 200 torr bpsg layer deposited using sub atmospheri...iaemedu
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
Riesgo Cardiovascular en el paciente VIH
Riesgo Cardiovascular en el paciente VIHRiesgo Cardiovascular en el paciente VIH
Riesgo Cardiovascular en el paciente VIHMedint81
 
Crème solaire et nanoparticules
Crème solaire et nanoparticulesCrème solaire et nanoparticules
Crème solaire et nanoparticulesYves971
 
Carbon nanotubes
Carbon nanotubesCarbon nanotubes
Carbon nanotubesPicasa_10
 

Andere mochten auch (20)

Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Deposition
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
Cvd & pvd by shreya
Cvd & pvd by shreyaCvd & pvd by shreya
Cvd & pvd by shreya
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
 
CVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUESCVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUES
 
CVD
CVDCVD
CVD
 
Physical vapor deposition
Physical vapor depositionPhysical vapor deposition
Physical vapor deposition
 
Part 6 thin film depositoin
Part 6  thin film depositoinPart 6  thin film depositoin
Part 6 thin film depositoin
 
3.Vilasi_Contribution_à_la_sélection_des_matériaux_métalliques_en_vue_d’appli...
3.Vilasi_Contribution_à_la_sélection_des_matériaux_métalliques_en_vue_d’appli...3.Vilasi_Contribution_à_la_sélection_des_matériaux_métalliques_en_vue_d’appli...
3.Vilasi_Contribution_à_la_sélection_des_matériaux_métalliques_en_vue_d’appli...
 
CVDLabReport
CVDLabReportCVDLabReport
CVDLabReport
 
DLC coatings in oil and gas production
DLC coatings in oil and gas productionDLC coatings in oil and gas production
DLC coatings in oil and gas production
 
Thin films
Thin filmsThin films
Thin films
 
Cardiovascular Disease.ppt
Cardiovascular Disease.pptCardiovascular Disease.ppt
Cardiovascular Disease.ppt
 
Comparison of 100 torr and 200 torr bpsg layer deposited using sub atmospheri...
Comparison of 100 torr and 200 torr bpsg layer deposited using sub atmospheri...Comparison of 100 torr and 200 torr bpsg layer deposited using sub atmospheri...
Comparison of 100 torr and 200 torr bpsg layer deposited using sub atmospheri...
 
Cvd and pvd
Cvd and pvdCvd and pvd
Cvd and pvd
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
Riesgo Cardiovascular en el paciente VIH
Riesgo Cardiovascular en el paciente VIHRiesgo Cardiovascular en el paciente VIH
Riesgo Cardiovascular en el paciente VIH
 
Crème solaire et nanoparticules
Crème solaire et nanoparticulesCrème solaire et nanoparticules
Crème solaire et nanoparticules
 
Carbon nanotubes
Carbon nanotubesCarbon nanotubes
Carbon nanotubes
 
Final Presentation
Final PresentationFinal Presentation
Final Presentation
 

Ähnlich wie Cvd

Ic technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthIc technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthkriticka sharma
 
A low-cost non-toxic post-growth activation step for CdTe solar cells
A low-cost non-toxic post-growth activation step for CdTe solar cellsA low-cost non-toxic post-growth activation step for CdTe solar cells
A low-cost non-toxic post-growth activation step for CdTe solar cellsUniversity of Liverpool
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Depositionjitendrahemwani
 
ALD for semiconductor applications_Workshop2010
ALD for semiconductor applications_Workshop2010ALD for semiconductor applications_Workshop2010
ALD for semiconductor applications_Workshop2010Gabriela Dilliway
 
CdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar CellCdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar CellZahra Behboodi
 
PET - Cyclotron Targetry: Operation & Maintenance
PET - Cyclotron Targetry: Operation & MaintenancePET - Cyclotron Targetry: Operation & Maintenance
PET - Cyclotron Targetry: Operation & Maintenance@Saudi_nmc
 
Lect2 up060 (100324)
Lect2 up060 (100324)Lect2 up060 (100324)
Lect2 up060 (100324)aicdesign
 
Equivalent Dielectric property of hydrogenated carbon nitride film in CH4/N2 ...
Equivalent Dielectric property of hydrogenated carbon nitride film in CH4/N2 ...Equivalent Dielectric property of hydrogenated carbon nitride film in CH4/N2 ...
Equivalent Dielectric property of hydrogenated carbon nitride film in CH4/N2 ...inventionjournals
 
Simulation and validation of turbulent gas flow in a cyclone using Caelus
Simulation and validation of turbulent gas flow in a cyclone using CaelusSimulation and validation of turbulent gas flow in a cyclone using Caelus
Simulation and validation of turbulent gas flow in a cyclone using CaelusApplied CCM Pty Ltd
 
chemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptxchemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptxssuserdcfc6d
 
Microwave Plasma CVD Reactors for Growing Diamond in the Laboratory-Crimson P...
Microwave Plasma CVD Reactors for Growing Diamond in the Laboratory-Crimson P...Microwave Plasma CVD Reactors for Growing Diamond in the Laboratory-Crimson P...
Microwave Plasma CVD Reactors for Growing Diamond in the Laboratory-Crimson P...CrimsonPublishersRDMS
 
Makram thesis presentation
Makram thesis presentationMakram thesis presentation
Makram thesis presentationabdelqad
 
Fabrication and Rate Performance of a Microfiber Cathode in a Mg–H2O2 Flowing...
Fabrication and Rate Performance of a Microfiber Cathode in a Mg–H2O2 Flowing...Fabrication and Rate Performance of a Microfiber Cathode in a Mg–H2O2 Flowing...
Fabrication and Rate Performance of a Microfiber Cathode in a Mg–H2O2 Flowing...chrisrobschu
 

Ähnlich wie Cvd (20)

Ic technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthIc technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growth
 
CVD.pptx
CVD.pptxCVD.pptx
CVD.pptx
 
A low-cost non-toxic post-growth activation step for CdTe solar cells
A low-cost non-toxic post-growth activation step for CdTe solar cellsA low-cost non-toxic post-growth activation step for CdTe solar cells
A low-cost non-toxic post-growth activation step for CdTe solar cells
 
CVD and PVD.ppt
CVD and PVD.pptCVD and PVD.ppt
CVD and PVD.ppt
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Deposition
 
Subrat cvd
Subrat cvdSubrat cvd
Subrat cvd
 
ALD for semiconductor applications_Workshop2010
ALD for semiconductor applications_Workshop2010ALD for semiconductor applications_Workshop2010
ALD for semiconductor applications_Workshop2010
 
CdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar CellCdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar Cell
 
PET - Cyclotron Targetry: Operation & Maintenance
PET - Cyclotron Targetry: Operation & MaintenancePET - Cyclotron Targetry: Operation & Maintenance
PET - Cyclotron Targetry: Operation & Maintenance
 
Lect2 up060 (100324)
Lect2 up060 (100324)Lect2 up060 (100324)
Lect2 up060 (100324)
 
Equivalent Dielectric property of hydrogenated carbon nitride film in CH4/N2 ...
Equivalent Dielectric property of hydrogenated carbon nitride film in CH4/N2 ...Equivalent Dielectric property of hydrogenated carbon nitride film in CH4/N2 ...
Equivalent Dielectric property of hydrogenated carbon nitride film in CH4/N2 ...
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
Simulation and validation of turbulent gas flow in a cyclone using Caelus
Simulation and validation of turbulent gas flow in a cyclone using CaelusSimulation and validation of turbulent gas flow in a cyclone using Caelus
Simulation and validation of turbulent gas flow in a cyclone using Caelus
 
CdTe absorber
CdTe absorberCdTe absorber
CdTe absorber
 
A0360105
A0360105A0360105
A0360105
 
chemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptxchemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptx
 
Microwave Plasma CVD Reactors for Growing Diamond in the Laboratory-Crimson P...
Microwave Plasma CVD Reactors for Growing Diamond in the Laboratory-Crimson P...Microwave Plasma CVD Reactors for Growing Diamond in the Laboratory-Crimson P...
Microwave Plasma CVD Reactors for Growing Diamond in the Laboratory-Crimson P...
 
Makram thesis presentation
Makram thesis presentationMakram thesis presentation
Makram thesis presentation
 
Fabrication and Rate Performance of a Microfiber Cathode in a Mg–H2O2 Flowing...
Fabrication and Rate Performance of a Microfiber Cathode in a Mg–H2O2 Flowing...Fabrication and Rate Performance of a Microfiber Cathode in a Mg–H2O2 Flowing...
Fabrication and Rate Performance of a Microfiber Cathode in a Mg–H2O2 Flowing...
 
CVD and PVD.ppt
CVD and PVD.pptCVD and PVD.ppt
CVD and PVD.ppt
 

Kürzlich hochgeladen

Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwaitjaanualu31
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxchumtiyababu
 
DeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesDeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesMayuraD1
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...Amil baba
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaOmar Fathy
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationBhangaleSonal
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Call Girls Mumbai
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptxJIT KUMAR GUPTA
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxJuliansyahHarahap1
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLEGEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLEselvakumar948
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VDineshKumar4165
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptNANDHAKUMARA10
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadhamedmustafa094
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Servicemeghakumariji156
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxmaisarahman1
 
Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdfKamal Acharya
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXssuser89054b
 

Kürzlich hochgeladen (20)

Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptx
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
DeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesDeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakes
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLEGEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal load
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
 
Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 

Cvd

  • 1. 1Microelectronics Processing Course - J. Salzman - Jan. 2002 Microelectronics Processing Chemical Vapor Deposition
  • 2. 2Microelectronics Processing Course - J. Salzman - Jan. 2002 Thin film deposition systemsThin film deposition systems CVD PVD Spin-on Electrolytic deposition
  • 3. 3Microelectronics Processing Course - J. Salzman - Jan. 2002 CVD depositionCVD deposition Chemical Vapor Deposition is the formation of a non-volatile solid film on a substrate by the reaction of vapor phase chemicals (reactants) that contain the required constituents. The reactant gases are introduced into a reaction chamber and are decomposed and reacted at a heated surface to form the thin film.
  • 4. 4Microelectronics Processing Course - J. Salzman - Jan. 2002 Examples of CVD filmsExamples of CVD films
  • 5. 5Microelectronics Processing Course - J. Salzman - Jan. 2002 CVD Systems AP-CVD LP-CVD PE-CVD HDP-CVD PH-CVD (CVD writing)
  • 6. 6Microelectronics Processing Course - J. Salzman - Jan. 2002 CVD systemsCVD systems Horizontal APCVD Reactor
  • 7. 7Microelectronics Processing Course - J. Salzman - Jan. 2002 Steps involved in a CVD processSteps involved in a CVD process (schematic)(schematic)
  • 8. 8Microelectronics Processing Course - J. Salzman - Jan. 2002 Steps involved in a CVD processSteps involved in a CVD process (schematic)(schematic) 3. Adsorption of reactants on the wafer surface. 4. Surface processes, including chemical decomposition or reaction, surface migration to attachment sites (such as atomic- level ledges and kinks), site incorporation, and other surface reactions. 5. Desorption of byproducts from the surface. 6. Transport of byproducts by diffusion through the boundary layer and back to the main gas stream. 7. Transport of byproducts by forced convection away from the deposition region. 1. Transport of reactants by forced convection to the deposition region. 2. Transport of reactants by diffusion from the main gas stream through the boundary layer to the wafer surface.
  • 9. 9Microelectronics Processing Course - J. Salzman - Jan. 2002 Steps involved in a CVD processSteps involved in a CVD process (schematic)(schematic)
  • 10. 10Microelectronics Processing Course - J. Salzman - Jan. 2002 Steps involved in a CVD processSteps involved in a CVD process (schematic)(schematic)
  • 11. 11Microelectronics Processing Course - J. Salzman - Jan. 2002 Steps involved in a CVD processSteps involved in a CVD process (limiting processes)(limiting processes) 1. Gas phase process (mainly diffusion to substrate). 2. Surface process (mainly reaction)
  • 12. 12Microelectronics Processing Course - J. Salzman - Jan. 2002 CVD kinetic growth modelCVD kinetic growth model We approximate the flux Fl by the linear formula F1 = hG (CG –CS ) where CG and CS are the concentrations of the SiCI4 (molecules per cubic centimeter) in the bulk of the gas and at the surface, respectively, and hG is the gas-phase mass-transfer coefficient. The flux consumed by the chemical-reaction taking place at the surface of the growing film F2 is approximated by the formula F2 = kS CS where kS is the chemical surface-reaction rate constant. In steady state F1 = F2 = F. Using this condition, we get GS G S hk C C /1+ =
  • 13. 13Microelectronics Processing Course - J. Salzman - Jan. 2002 CVD kinetic growth model-IICVD kinetic growth model-II We can now express the growth rate of the silicon film by writing where N1 is the number of silicon atoms incorporated into a unit volume of the film. Its value for silicon is 5.0×1022 cm-3 . Noting that CG = YCT where CT is the total number of molecules per cubic centimeter in the gas, we get the expression for the growth rate, 11 N C hk hk N F v G GS GS + == Y N C hk hk N F v T GS GS 11 + == The growth rate at a given mole fraction is determined by the smaller of hG or kS . In the limiting cases the growth rate will be given either by [surface-reaction control] or by [mass-transfer control].Yk N C v S T 1 ≅ Yk N C v S T 1 ≅ hGY
  • 14. 14Microelectronics Processing Course - J. Salzman - Jan. 2002 CVD growth model – Gas phase massCVD growth model – Gas phase mass transfertransfer The “Stagnant-film” model of gas-phase mass-transfer δ SG G CC DF − =1 δ G G D h = Boundary layer theory: δ increases with distance in the direction of gas flow (from Newton’s second low). DG – diffusivity of reactant species δ - boundary layer thickness
  • 15. 15Microelectronics Processing Course - J. Salzman - Jan. 2002 CVD growth model – Gas phase massCVD growth model – Gas phase mass transfertransfer The flow of reactants F is F ∝ DG δ-1
  • 16. 16Microelectronics Processing Course - J. Salzman - Jan. 2002 Tilted CVD susceptorTilted CVD susceptor The susceptor in a horizontal epitaxial reactor is tilted so that the cross-sectional area of the chamber is decreased, increasing the gas velocity along the susceptor. This compensates for both the boundary layer and depletion effects.
  • 17. 17Microelectronics Processing Course - J. Salzman - Jan. 2002 Áp suất cao, độ dài khuếch tán nhỏ, tốc độ phản ứng nhanh, tốc độ phát triển màng bị giới hạn bởi sự truyền khí trong vùng biên. Dùng để kết tủa những màng điện môi dày như silicon nitride, hạn chế của phương pháp này là tạp. III.1 APCVD (atmospheric pressure CVD)
  • 18. 18Microelectronics Processing Course - J. Salzman - Jan. 2002 • Giảm áp suất nhằm giảm các phản ứng ở pha khí không mong muốn làm cho độ đòng đều màng tăng. • Yêu cầu áp suất thấp chiều dài khuếch tán giảm , hgcao nên có thể điều khiển được tốc độ phản ứng • Có thể chế tạo màng bảo giác chất lượng tốt. • Dùng cho điện môi và bán dẫn. III.2 LPCVD (low pressure CVD) Y N C hk hk v T GS GS 1+ = δ G G D h = Recall that and The key new point isThe key new point is total G P D 1 ∝
  • 19. 19Microelectronics Processing Course - J. Salzman - Jan. 2002  Các ion khí hiếm được tăng cường bởi thế AC ( RF ) hay DC tới va chạm với precuser tại bề mặt để tạo phản ứng.  Đưa plasma (năng lượng điện trường 1eV = 11600 K ) vào CVD để làm ion phân ly trong plasma, dể dàng tạo phản ứng hóa học ở nhiệt độ thấp PECVD ( đỉnh cao của CVD).  Thường dùng làm kết tủa lớp silicon nitride thụ động hóa. III.3 PECVD (plasma-enhanced CVD)
  • 20. 20Microelectronics Processing Course - J. Salzman - Jan. 2002 Ví dụ sử dụng quá trình CVD trong công nghệ sảnVí dụ sử dụng quá trình CVD trong công nghệ sản xuất bán dẫn.xuất bán dẫn. màng Phương trình phản ứng Nhiệt độ (0 C) SiO2 SiH4 + O2 -> SiO2 + 2H2 Si(OC2 H5 )4 -> SiO2 + gas.RP SiCl2 H2 + N2 O -> SiO2 + 2N2 + 2HCl SiH4 + CO2 H2 -> SiO2 + gas.RP 400-450 650-700 850-900 850-950 Si3 N4 3SiH2 Cl2 + 4NH3 -> Si3 N4 + 6HCl + 6H2 700-900 Polysilico n SiH4 -> Si + 2H2 600-650 Tungsten 2WF6 + 3Si -> 2W + 3SiF4 WF6 + SiH4 -> W + SiF4 + 2HF + H2 300 400-450
  • 21. 21Microelectronics Processing Course - J. Salzman - Jan. 2002
  • 22. 22Microelectronics Processing Course - J. Salzman - Jan. 2002 IV. Kiểu bình phản ứng CVD.  Bình phản ứng thành bình nóng.  Bình phản ứng thành bình lạnh.  Bình phản ứng liên tục.  Bình phản ứng CVD ghép điện plasma. CVD bao gồm nhiều kiểu bình phản ứng và kiểu xử lý. Việc lựa chọn kiểu bình phản ứng phụ thuộc vào ứng dụng thông qua các yêu cầu đối với vật liệu đế, hình thái học lớp phủ độ dày và độ đồng đếu của lớp màng.
  • 23. 23Microelectronics Processing Course - J. Salzman - Jan. 2002 Advantages of CVD processesAdvantages of CVD processes CVD processes are ideally suited for depositing thin layers of materials on some substrate. In contrast to some other deposition processes which we will encounter later, CVD layers always follow the contours of the substrate: They are conformal to the substrate as shown below.
  • 24. 24Microelectronics Processing Course - J. Salzman - Jan. 2002 Disadvantages of CVD processesDisadvantages of CVD processes The two most important ones (and the only ones we will address here) are: 1. They are not possible for some materials; there simply is no suitable chemical reaction. 2. They are generally not suitable for mixtures of materials.
  • 25. 25Microelectronics Processing Course - J. Salzman - Jan. 2002 LP-CVDLP-CVD Y N C hk hk v T GS GS 1+ = δ G G D h = Recall that and The key new point isThe key new point is total G P D 1 ∝
  • 26. 26Microelectronics Processing Course - J. Salzman - Jan. 2002 Gas depletion in LPCVD reactorGas depletion in LPCVD reactor In the surface reaction limited regime T is critical (10 C). Ramping T compensates depletion.
  • 27. 27Microelectronics Processing Course - J. Salzman - Jan. 2002 Plasma enhanced CVD systemPlasma enhanced CVD system (PECVD)(PECVD) As the thermal budget gets more and more constrained while more and more layers need to be added for multi-layer metallization, we want to come down with the temperature for the oxide ( or other) CVD processes. One way for doing this is to supply the necessary energy for the chemical reaction by ionizing the gas, thus forming a plasma.
  • 28. 28Microelectronics Processing Course - J. Salzman - Jan. 2002 PECVD properties  Low substrate temperature  Conformal film  Not stoichiometric film  By-products incorporated  Outgassing  Cracking  Peeling
  • 29. 29Microelectronics Processing Course - J. Salzman - Jan. 2002 High Density Plasma CVD systemsHigh Density Plasma CVD systems (HDP-CVD)(HDP-CVD) • ECR • ICP A separate RF bias sputtering planarization
  • 30. 30Microelectronics Processing Course - J. Salzman - Jan. 2002 CVD of Si - EpitaxyCVD of Si - Epitaxy When SiH4 gas is used in a CVD reactor, a Si layer is deposited on the wafer surface. The size of the crystallites depends on the deposition temperature. At high enough temperature, the ad-atoms have enough kinetic energy to move on the surface and align themselves with the underlying Si. This is an epitaxial layer, and the process is called Epitaxy instead of CVD. At lower deposition temperatures, the layer is poly-crystalline Si (consisting of small crystallites)
  • 31. 31Microelectronics Processing Course - J. Salzman - Jan. 2002 Si EpitaxySi Epitaxy The chemical reaction that produces the Si is fairly simple: SiCl4(g)+2H2(g)=(1000-1200o C)=Si(s)+4HCl(g) Instead of SiCl4 you may want to use SiHXCl4-X
  • 32. 32Microelectronics Processing Course - J. Salzman - Jan. 2002 Epitaxial FurnaceEpitaxial Furnace
  • 33. 33Microelectronics Processing Course - J. Salzman - Jan. 2002 Effect of SiClEffect of SiCl44 concentration on Siconcentration on Si depositiondeposition Polysilicon deposition occurs for growth rates exceeding 2 μm/min. Etching of the surface will occur for mole fraction concentrations exceeding 28%.
  • 34. 34Microelectronics Processing Course - J. Salzman - Jan. 2002 CVD kinetic growth modelCVD kinetic growth model Arrhenius plot of growth velocity vs. 1/T for CVD process Deposition rate vs. 1/T for Si deposited by APCVD using various source gases. Partial pressure of the reactant gas was 0.8 torr. H2 used as carrier gas for solid curves. Using N2 as diluent shifts SiH4 curve to the right.
  • 35. 35Microelectronics Processing Course - J. Salzman - Jan. 2002 Si epitaxy – controlling doping profilesSi epitaxy – controlling doping profiles Epitaxy is definitely needed if a doping profile is required where the resistivity in regions near the surface is larger than in the bulk. By diffusion, you can always lower the resistivity and even change the doping type, but increasing the resistivity by diffusion is not realistically possible.
  • 36. 36Microelectronics Processing Course - J. Salzman - Jan. 2002 Examples for CVD Processes Used inExamples for CVD Processes Used in Semiconductor ManufacturingSemiconductor Manufacturing Layer Reaction equations Temperature (ºC) SiO2 LTO TEOS HTO SiH4 + O2 -> SiO2 + 2H2 Si(OC2 H5 )4 -> SiO2 + gas.RP SiCl2 H2 + N2 O -> SiO2 + 2N2 + 2HCl SiH4 + CO2 H2 -> SiO2 + gas.RP 400-450 650-700 850-900 850-950 Si3 N4 3SiH2 Cl2 + 4NH3 -> Si3 N4 + 6HCl + 6H2 700-900 Polysilico n SiH4 -> Si + 2H2 600-650 Tungsten selective blanket 2WF6 + 3Si -> 2W + 3SiF4 WF6 + SiH4 -> W + SiF4 + 2HF + H2 300 400-450
  • 37. 37Microelectronics Processing Course - J. Salzman - Jan. 2002 Oxide CVDOxide CVD SiH2 CI2 + 2NO2 = (900 °C) = SiO2 + 2HCI + 2N2 There are several possibilities, one is While this reaction was used until about 1985, a better reaction is offered by the "TEOS" process. Si(C2 H5 O)4 = (720 °C) = SiO2 + 2H2 O + C2 H4 . Si(C2 H5 O)4 has the chemical name Tetraethylorthosilicate
  • 38. 38Microelectronics Processing Course - J. Salzman - Jan. 2002 Oxide CVDOxide CVD
  • 39. 39Microelectronics Processing Course - J. Salzman - Jan. 2002 SiSi33NN44 DepositionDeposition •We don't "nitride" the Si, analogous to oxidations, by heating the Si in a N2 (actually we do - on occasion), because Si3 N4 is so impenetrable to almost everything - including nitrogen - that the reaction stops after a few nm. There is simply no way to grow a "thick" nitride layer thermally. •Also, don't forget: Si3 N4 is always producing tremendous stress, and you don't want to have it directly on the Si without a buffer oxide in between. In other words: We need a CVD process for nitride. Well, it becomes boring now: •Take your CVD furnace from before, and use a suitable reaction, e.g. 3SiH2 Cl2 + 4NH3 =(...o C)= Si3 N4 + 2HCl + 1,5 H2 .
  • 40. 40Microelectronics Processing Course - J. Salzman - Jan. 2002 Tungsten (W) CVDTungsten (W) CVD •Ironically, W-CVD comes straight form nuclear power technology: High purity Uranium (chemical symbol U) is made by a CVD process using UF6 as the gas that decomposes at high temperature. •W is chemically very similar to U, so we use WF6 for W-CVD. •A CVD furnace, however, is not good enough anymore. W-CVD needed its own equipment, painfully (and expensively) developed a decade ago. •We will not go into details, however. CVD methods, although quite universally summarily described here, are all rather specialized and the furnace type reactor referred to here, is more an exception than the rule.