SlideShare ist ein Scribd-Unternehmen logo
1 von 52
Accelerated development in Automotive
E/E Systems using VisualSim Architect
Logistics of the Webinar
2
All attendees will be placed on mute
To ask a question, click on Cloud Chat sign and type the
question. Folks are standing by to answer your questions.
There will also be a time at the end for Q&A
Agenda
Trends
Key Automotive E/E systems technologies – Hardware, Networking and Software
Introduction to system modeling – assembling Automotive E/E systems
Examples and Use Cases
Mirabilis Design and VisualSim Architect
Challenges in the Development of E/E
Systems for Auto
Require a single communication, tracking, exploration and testing mechanism from
Requirements to post-sales diagnostics
What-if study platform to scale from a small experiment to the complete vehicle architecture
Link all studies with exploration and generation of tests
Hardware, software and network teams must work of a single specification evaluation platform
Trade-off must incorporate performance, power and functionality vs., safety, reliability, quality
and cost
VisualSim Automotive E/E System
Development Platform
•VisualSim Architect can model the complete Automotive Electrical/Electronic system
• Hardware
• Software
• Network
•VisualSim models can evaluate
• Performance
• Power
• Functionality
•System can be tested for faults
• Hardware failure
• Software failure
• RTOS failure
• Power failure
• Network failure
Key VisualSim Technologies
Networking Protocols
◦ CAN, CAN/FD, FlexRay, AVB/TSN/TTE/GiE, AFDX, 5G/6G, Limited V2V
Hardware and Software
◦ Gateways, switches, processor, schedulers, arbitrations, queues and Tx/Rx
◦ Task graph, software code execution, hardware programming integration
Infrastructure and Integration
◦ Traffic generation (Distribution, CSV, random variation and trace), ARXML, Wireshark, Test instruments
◦ Cybersecurity, failure modes, error generation
◦ Distributed multi-core simulation
All-in-One package for Network Analysis, Verification and Testing
Why Study
Bandwidth Allocations & Timing Deadline?
T3 Expected T3 Complete
Consider three Packets- T1, T2 and T3
All tasks allocated to a single channel
Each Task has equal processing time
and priority (T1>T2>T3)
Design Impacts of buffering,
preemption, offset times and
processing capacity
Challenges must be studied globally, multiple attributes dependency is static and dynamic
Selecting the right Architecture
Scheduling/Arbitration
proportional
share
WFQ
static
dynamic
fixed priority
EDF
TDMA
FCFS
Communication Templates
Architecture # 1 Architecture # 2
Computation Templates
DSP
AI
GPU
DRAM
CPU
FPGA
m
E
DSP
TDMA
Priority
EDF
WFQ
RISC
DSP
LookUp
Cipher
AI DS
P
CPU
GP
U
mE DD
R
static
Which architecture is better suited
for our application?
Architecture Exploration Methodology
Product Concept, Optimized Architecture, Development and Support
Handle 3 cameras, 4 Lidars & 5 Radars
95% cache hit-ratio
Gateways to handle WiFi, BLE and TSN
Power consumption
Safety and security
Product
Requirements
Output Optimized Architecture
CPU_1
CPU_2
Cache
RAM
B
U
S
Rough/Existing
Architecture
Semiconductor Systems and Software
Assemble Models
Conduct Trade-offs
Architecture Optimization
Functional Analysis
VisualSim Environment
Mirabilis Design Inc. 9
HW, SW and Network
Development
Documentation
AI Links Requirements with exploration
Optimize Parameter to meet the requirements
Requirements Database
System Model
Recommendations
Diagnostics
System Model Experiments
Different Gate Control Lists (GCL) for Time Aware Shaper (TAS) to meet timing deadlines
Credit Based Shaper vs Strict Priority scheduling
◦ To meet bandwidth requirements for Audio Video Bridging (AVB) Class A and Class B frames.
Scalability test
◦ More nodes, more traffic, more variations
Performance with and without redundancy
Experiments the software mapping sequences
◦ Sequential execution vs parallel execution vs cascaded execution
Common Evaluation Statistics
Response times for safety critical tasks
Throughput across Bus networks
Packet drop rate across buffers, networks
Memory usage
CPU utilization
Power consumption over a period of time – peak , avg power monitoring
Battery discharge rate – with and without data encryption
Credit analysis with frozen and non-frozen credit during GB (Guard Band)
11/10/2022 MIRABILIS DESIGN INC. 12
Use case
examples
Network Modelling
◦ Network side of the automotive E/E system
◦ Quality of Service settings, routing algorithms, topology etc.
Software Modelling
◦ Software definition and mapping of tasks in automotive
◦ Task cascading, task graph definition, execution of software code
Hardware Modelling
◦ Hardware architecture of the automotive E/E system
◦ ECU design, resource management etc.
Failure Analysis
◦ Injecting faults at various levels within automotive E/E system
◦ Hardware, Software, Power, RTOS and Networking
Content
Network Modelling – Use Cases
Time Sensitive Networking (TSN) Standard
case study – CBS vs Strict Priority
•Agenda – Evaluate the performance gains – throughput, packet spacing , when using Credit
Based Shaper enabled Switch vs a Strict Priority scheduler enabled switch.
•Traffic – Class B traffic sent via Port A at an average rate of 90 Mbps and Best Effort (Priority 7)
frames sent via port B at an average rate of 60 Mbps. Both AVB and BE frames are to be sent to
the same destination port, port C.
•Credit based shaper – Based on the sendslope and idleslope settings, bandwidth allocated for
class A and class B can be moderated. AVB frames given higher priority than BE frames.
• Idleslope = (Reservation_value * 1000000) / 8
• Sendslope = (Port transmission rate – Reservation_value)* 1000000) / 8
•Strict Priority scheduler – Scheduler gives resources to the higher priority frames first. Typically,
AVB frame Class A mapped to Priority 5 and Class B mapped to Priority 4.
Simplified Experimental System
USE CASE - 1
CREDIT BASED SHAPER – RESERVATION_VALUE = 55.0 Mbps
Parameter settings
Calculations -
• Reservation_value = 55 Mbps
• Idleslope = (Reservation_value * 1000000) / 8 = 6.875E6
bps = 6.875 Mbps
• Sendslope = (Port transmission rate –
Reservation_value)* 1000000) / 8 = 5.625E6 bps = 5.625
Mbps
Results
• Egress throughput shows
that the AVB class could
only use a maximum of
55 Mbps. The remaining
45 Mbps was used by BE
frames
• The Egress packet
spacing looks
considerably better
without the abrupt
spikes seen in the Ingress
spacing.
USE CASE - 2
STRICT PRIORITY SCHEDULER – NO CBS
Parameter settings
Results
• Here, since BE frame has higher
priority (7) than the AVB class B
(4), BE frames are sent ahead of
AVB frames and thus more
bandwidth is consumed by the
BE frames, shown by the Egress
throughput plot.
• Better packet spacing is seen for
BE frames.
Complex Multi-Layer Network Model
Multi-agent traffic, Multi-protocol network studies
Exploring Protocols Meet QoS
Evaluate algorithms, QoS strategies and detect bottlenecks
Software Modelling – Use Cases
Evaluate Software Partitioning
Model the entire Vehicle for a realistic analysis
Hardware
architecture
/ network
topology
Software
sequence
Sensors connected to the TSN Switches send data
periodically to the processing nodes/units
distributed across the network.
The software sequences are mapped to the hardware.
Here we have 4 software functions defined:
Function 2 and 3 can start when Function 1 completes
and for Function 4 to start, both Function 2 and 3
must complete.
Metrics to Evaluate Quality
Hardware Modelling – Use Cases
Sub-System or System Evaluation
Brake ECU
Power, Heat, Functional and Timing
Input Data to the System model
Sensor Data file
Map Tasks
to
Processor
Cores
Task Mapping Table
Stats Generated
Task Stats
TSN Switch stats
Failure Analysis – For improved safety and
reliability
Failure Analysis in:
Hardware
 One of the processor core dies. Tasks get remapped to active cores
 Reduced buffer size due to memory loss
 Data error due to Electro magnetic Interference
 Sudden occurrence of alarms which leads to more core activity
Software
 Deadlock and Livelock
 Resource starvation
RTOS
 App execution within a slot going over to the next slot and not meeting the slot schedule
Power
 Thermal shocks and lifecycle loss
 Processor core shutdown due to not enough power
Network
 Fault Injector
 Brute Force attack
Hardware
with failure
without failure
• Core failure – Core_1 is
damaged. As a result, all
core_1 tasks are mapped to
other active cores (refer
Timing Diagram)
• EM Interference – Causes
data corruption (refer
logfile)
• Memory loss – Total
available space to which
data can be written is
reduced (refer logfile)
• Burst Traffic – Sudden
activation of alarm causes
increased workload on
processor cores (refer
latency plot)
Software Failure
The model represents the read and write problem and how to resolve it.
Initially, data is read, then data is updated
the result will be analyzed by identifying the read data = write data
and if it is not, another request will be sent to fetch updated value.
So this model represents the failure, when the algorithm result change
due to the changes in memory value.
Incorrect Data
packets
Correct Data
packets
Read & Write
Problem
Resource Starvation
No
Resource
starvation
Resource
starvation
for app 1
Detecting and handling Deadlock – Task
Graphs
No deadlock Deadlock due to credits not being released for flow 0
Flow 0 not
being
executed
anymore
Detecting and handling Livelock
Livelock
detected.
Instructions
are being
executed in
loop at an
unexpected
label
From the per label stats, we can see a set of
instructions being executed at very high
occurrence and the latency keep going up
Without livelock With livelock
RTOS Failure
Disabled slot
due to greater
execution
time of the
task
This model represents multiple tasks running at different slots in a processor core.
If the time it took to complete execution of an application of a particular slot
exceeds the threshold, then that slot will be disabled and restarted after the
restart time.
The latency for all the tasks that are running for each of the slot is calculated.
Disabled slot information will be printed on the console window.
Core shutdown due to low power
availability
Without
power
drop
With
power
drop
Latency at
higher value
Network Fault Injector
Different types of failure
Results
No Faults injected Message Loss injected Incorrect Addressing
Glimpses into the future
TC10 Integrated Software
sw1 sw2 sw3
Node1 Node2 Node3 Node4 Node5
• Wake up event on “Node5”; Need to talk to “Node2”
• Wake up information has to go through links A, B, C
and D
• Link C is already active!
A
B
C
D
- Active ECU - ECU sleeping - Active port - Port down
Active link
Link down
Software
Software
Abstraction
Hardware
• Multiple services per hardware
• The software defines the hardware
Mirabilis Design
VisualSim Architect
About Mirabilis Design
Engineering Solutions focused on innovation in electronics
Based in Silicon Valley, USA
Development and support centers in US, India, Japan, China and Czech
60 large corporations, research centers and 73 universities as customers
Enabled 250 products in semiconductors, automotive, defense and space
VisualSim Architect is the system simulation and IP for hardware, software and networking
Mirabilis Design – Milestones
VisualSim Aerospace
Simulator of the Year
Hardware
Modeling
2003
Company
Incorporated
2005
Modeling Services
1st Customer
2008
Stochastic Modeling
Innovation Award
2010
Integration API
10th customer
2011
Network Modeling
University Program
2013
2015
2018
Best ESL at DAC
2nd at Arm TechCon
2019
VisualSim Automotive
Europe operations
2020
Failure Analysis
Created Asia Team
2021
Best Embedded Systems
Presentation Award – DAC
2021
SysML API
Requirements
2018
New
VisualSim
2022
Best in Show
Embedded World
2023
Communication System
Designer
2022
System Verilog and
UPF/CPF Link
VisualSim Architect
Cloud and
Desktop
Multi-simulation
engine- Digital,
Untimed &
Continuous
Library of Systems,
Networks, Semi,
FPGA & Software
Generate statistics,
documentation &
traces
Algorithms
Protocol
AI Insight
Performance
Power
Functional
Stochastic
Scripting
Sim API
Performance
Latency, Throughput, Buffer occupancy
Power
Instant, Average, Cumulative, Heat, Temperature
Battery and power generation sizing
Functionality
Correctness, efficiency and Quality-of-Service
Failure Analysis and Functional Safety
Generate errors and test for compliance
Software Evaluation
Test quality of C++ and impact on system performance
System-level Modeling and Simulation Software
that integrates requirements, exploration & verification
Over 500 Systems-Level IP Components
Comprehensive implementation-accurate Library
Traffic
• Distribution
• Sequence
• Trace file
• Instruction
profile
Power
• State power table
• Power management
• Energy harvesters
• Battery
• RegEx operators
SoC Buses
• AMBA and Corelink
• AHB, APB, AXI, ACE,
CHI, CMN600
• Network-on-Chip
• TileLink
System Bus
• PCI / PCI-
X / PCIe
• Rapid IO
• AFDX
• OpenVPX
• VME
• SPI 3.0
• 1553B
ARM
• M-, R-, 7TDMI
• A8, A53, A55, A72, A76,
A77, Neoverse
Custom
Creator
• Script language
• 600 RegEx fn
• Task graph
• Tracer
• C/C++/Java
• Python
Stochastic
• FIFO/LIFO Queue
• Time Queue
• Quantity Queue
• System Resource
• Schedulers
• Cyber Security
Memory
• Memory Controller
• DDR DRAM 2,3,4, 5
• LPDDR 2, 3, 4
• HBM, HMC
• SDR, QDR, RDRAM
Networking
• Ethernet & GiE
• Audio-Video Bridging
• 802.11 and Bluetooth
• 5G
• Spacewire
• CAN-FD
• TTEthernet
• FlexRay
• TSN & IEEE802.1Q
• ARINC 664/AFDX
Interfaces
• Virtual
Channel
• DMA
• Crossbar
• Serial
Switch
• Bridge
Algorithms
• Signal Processing
• Analog
• Antenna
RTOS
• Template
• ARINC 653
• AUTOSAR
Storage
• Flash & NVMe
• Storage Array
• Disk and SATA
• Fibre Channel
• FireWire
Software
• GEM5
• Software
code
integration
• Instruction
trace
• Statistical
software
model
• Task graph
RTL-Like
• Clock, Wire-Delay
• Registers, Latches
• Flip-flop
• ALU and FSM
• Mux, DeMux
• Lookup table
Processors
• GPU, DSP, mP and mC
• RISC-V
• SiFive u74
• Nvidia- Drive-PX
• PowerPC
• X86- Intel and AMD
• DSP- TI and ADI
• MIPS, Tensilica, SH
Reports
• Timing and Buffer
• Throughput/Util
• Ave/peak power
• Statistics
FPGA
• Xilinx- Zynq, Virtex,
Kintex
• Intel-Stratix, Arria
• Microsemi-
Smartfusion
• Programmable logic
template
• Interface traffic
generator
Using Code-based Design Methodology
Project Schedule
Model Creation (6)
Implementation (18)
Analysis (1.5)
Communication and Refinement (6)
Implementation (15)
Using Model-Based Design Methodology
Note: All times in months
Communication and Refinement (4)
Analysis (2.5)
Model Creation (1)
System Modelling Benefits
Average gain
for 24 month
project is
25%-30%
Highest
Quality
Product
Accelerate
Model
development
Average increase in revenue per project = $??M
Accelerated development in Automotive
E/E Systems using VisualSim Architect

Weitere ähnliche Inhalte

Ähnlich wie Accelerated development in Automotive E/E Systems using VisualSim Architect

Exploration of Radars and Software Defined Radios using VisualSim
Exploration of  Radars and Software Defined Radios using VisualSimExploration of  Radars and Software Defined Radios using VisualSim
Exploration of Radars and Software Defined Radios using VisualSimDeepak Shankar
 
System Architecture Exploration Training Class
System Architecture Exploration Training ClassSystem Architecture Exploration Training Class
System Architecture Exploration Training ClassDeepak Shankar
 
Webinar: Detecting Deadlocks in Electronic Systems using Time-based Simulation
Webinar: Detecting Deadlocks in Electronic Systems using Time-based SimulationWebinar: Detecting Deadlocks in Electronic Systems using Time-based Simulation
Webinar: Detecting Deadlocks in Electronic Systems using Time-based SimulationDeepak Shankar
 
Mastering IoT Design: Sense, Process, Connect: Processing: Turning IoT Data i...
Mastering IoT Design: Sense, Process, Connect: Processing: Turning IoT Data i...Mastering IoT Design: Sense, Process, Connect: Processing: Turning IoT Data i...
Mastering IoT Design: Sense, Process, Connect: Processing: Turning IoT Data i...Deepak Shankar
 
Develop High-bandwidth/low latency electronic systems for AI/ML application
Develop High-bandwidth/low latency electronic systems for AI/ML applicationDevelop High-bandwidth/low latency electronic systems for AI/ML application
Develop High-bandwidth/low latency electronic systems for AI/ML applicationDeepak Shankar
 
Energy efficient AI workload partitioning on multi-core systems
Energy efficient AI workload partitioning on multi-core systemsEnergy efficient AI workload partitioning on multi-core systems
Energy efficient AI workload partitioning on multi-core systemsDeepak Shankar
 
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)Ontico
 
How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?Deepak Shankar
 
How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?Deepak Shankar
 
How to create innovative architecture using ViualSim?
How to create innovative architecture using ViualSim?How to create innovative architecture using ViualSim?
How to create innovative architecture using ViualSim?Deepak Shankar
 
4th SDN Interest Group Seminar-Session 2-3(130313)
4th SDN Interest Group Seminar-Session 2-3(130313)4th SDN Interest Group Seminar-Session 2-3(130313)
4th SDN Interest Group Seminar-Session 2-3(130313)NAIM Networks, Inc.
 
Tokyo azure meetup #12 service fabric internals
Tokyo azure meetup #12   service fabric internalsTokyo azure meetup #12   service fabric internals
Tokyo azure meetup #12 service fabric internalsTokyo Azure Meetup
 
Designing memory controller for ddr5 and hbm2.0
Designing memory controller for ddr5 and hbm2.0Designing memory controller for ddr5 and hbm2.0
Designing memory controller for ddr5 and hbm2.0Deepak Shankar
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...ijceronline
 
Parallel Algorithms Advantages and Disadvantages
Parallel Algorithms Advantages and DisadvantagesParallel Algorithms Advantages and Disadvantages
Parallel Algorithms Advantages and DisadvantagesMurtadha Alsabbagh
 
Update on Trinity System Procurement and Plans
Update on Trinity System Procurement and PlansUpdate on Trinity System Procurement and Plans
Update on Trinity System Procurement and Plansinside-BigData.com
 

Ähnlich wie Accelerated development in Automotive E/E Systems using VisualSim Architect (20)

Exploration of Radars and Software Defined Radios using VisualSim
Exploration of  Radars and Software Defined Radios using VisualSimExploration of  Radars and Software Defined Radios using VisualSim
Exploration of Radars and Software Defined Radios using VisualSim
 
Webinar on RISC-V
Webinar on RISC-VWebinar on RISC-V
Webinar on RISC-V
 
System Architecture Exploration Training Class
System Architecture Exploration Training ClassSystem Architecture Exploration Training Class
System Architecture Exploration Training Class
 
Webinar: Detecting Deadlocks in Electronic Systems using Time-based Simulation
Webinar: Detecting Deadlocks in Electronic Systems using Time-based SimulationWebinar: Detecting Deadlocks in Electronic Systems using Time-based Simulation
Webinar: Detecting Deadlocks in Electronic Systems using Time-based Simulation
 
Решения WANDL и NorthStar для операторов
Решения WANDL и NorthStar для операторовРешения WANDL и NorthStar для операторов
Решения WANDL и NorthStar для операторов
 
Mastering IoT Design: Sense, Process, Connect: Processing: Turning IoT Data i...
Mastering IoT Design: Sense, Process, Connect: Processing: Turning IoT Data i...Mastering IoT Design: Sense, Process, Connect: Processing: Turning IoT Data i...
Mastering IoT Design: Sense, Process, Connect: Processing: Turning IoT Data i...
 
Develop High-bandwidth/low latency electronic systems for AI/ML application
Develop High-bandwidth/low latency electronic systems for AI/ML applicationDevelop High-bandwidth/low latency electronic systems for AI/ML application
Develop High-bandwidth/low latency electronic systems for AI/ML application
 
Energy efficient AI workload partitioning on multi-core systems
Energy efficient AI workload partitioning on multi-core systemsEnergy efficient AI workload partitioning on multi-core systems
Energy efficient AI workload partitioning on multi-core systems
 
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
 
How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?
 
How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?
 
How to create innovative architecture using ViualSim?
How to create innovative architecture using ViualSim?How to create innovative architecture using ViualSim?
How to create innovative architecture using ViualSim?
 
computer architecture.
computer architecture.computer architecture.
computer architecture.
 
Software Performance
Software Performance Software Performance
Software Performance
 
4th SDN Interest Group Seminar-Session 2-3(130313)
4th SDN Interest Group Seminar-Session 2-3(130313)4th SDN Interest Group Seminar-Session 2-3(130313)
4th SDN Interest Group Seminar-Session 2-3(130313)
 
Tokyo azure meetup #12 service fabric internals
Tokyo azure meetup #12   service fabric internalsTokyo azure meetup #12   service fabric internals
Tokyo azure meetup #12 service fabric internals
 
Designing memory controller for ddr5 and hbm2.0
Designing memory controller for ddr5 and hbm2.0Designing memory controller for ddr5 and hbm2.0
Designing memory controller for ddr5 and hbm2.0
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
 
Parallel Algorithms Advantages and Disadvantages
Parallel Algorithms Advantages and DisadvantagesParallel Algorithms Advantages and Disadvantages
Parallel Algorithms Advantages and Disadvantages
 
Update on Trinity System Procurement and Plans
Update on Trinity System Procurement and PlansUpdate on Trinity System Procurement and Plans
Update on Trinity System Procurement and Plans
 

Mehr von Deepak Shankar

How to achieve 95%+ Accurate power measurement during architecture exploration?
How to achieve 95%+ Accurate power measurement during architecture exploration? How to achieve 95%+ Accurate power measurement during architecture exploration?
How to achieve 95%+ Accurate power measurement during architecture exploration? Deepak Shankar
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Deepak Shankar
 
Compare Performance-power of Arm Cortex vs RISC-V for AI applications_oct_2021
Compare Performance-power of Arm Cortex vs RISC-V for AI applications_oct_2021Compare Performance-power of Arm Cortex vs RISC-V for AI applications_oct_2021
Compare Performance-power of Arm Cortex vs RISC-V for AI applications_oct_2021Deepak Shankar
 
Capacity Planning and Power Management of Data Centers.
Capacity Planning and Power Management of Data Centers. Capacity Planning and Power Management of Data Centers.
Capacity Planning and Power Management of Data Centers. Deepak Shankar
 
Automotive network and gateway simulation
Automotive network and gateway simulationAutomotive network and gateway simulation
Automotive network and gateway simulationDeepak Shankar
 
Introduction to architecture exploration
Introduction to architecture explorationIntroduction to architecture exploration
Introduction to architecture explorationDeepak Shankar
 
Using ai for optimal time sensitive networking in avionics
Using ai for optimal time sensitive networking in avionicsUsing ai for optimal time sensitive networking in avionics
Using ai for optimal time sensitive networking in avionicsDeepak Shankar
 
Is accurate system-level power measurement challenging? Check this out!
Is accurate system-level power measurement challenging? Check this out!Is accurate system-level power measurement challenging? Check this out!
Is accurate system-level power measurement challenging? Check this out!Deepak Shankar
 
Architectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthArchitectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthDeepak Shankar
 
Mirabilis design Inc - Brochure
Mirabilis design Inc - BrochureMirabilis design Inc - Brochure
Mirabilis design Inc - BrochureDeepak Shankar
 

Mehr von Deepak Shankar (11)

How to achieve 95%+ Accurate power measurement during architecture exploration?
How to achieve 95%+ Accurate power measurement during architecture exploration? How to achieve 95%+ Accurate power measurement during architecture exploration?
How to achieve 95%+ Accurate power measurement during architecture exploration?
 
Modeling Abstraction
Modeling AbstractionModeling Abstraction
Modeling Abstraction
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
 
Compare Performance-power of Arm Cortex vs RISC-V for AI applications_oct_2021
Compare Performance-power of Arm Cortex vs RISC-V for AI applications_oct_2021Compare Performance-power of Arm Cortex vs RISC-V for AI applications_oct_2021
Compare Performance-power of Arm Cortex vs RISC-V for AI applications_oct_2021
 
Capacity Planning and Power Management of Data Centers.
Capacity Planning and Power Management of Data Centers. Capacity Planning and Power Management of Data Centers.
Capacity Planning and Power Management of Data Centers.
 
Automotive network and gateway simulation
Automotive network and gateway simulationAutomotive network and gateway simulation
Automotive network and gateway simulation
 
Introduction to architecture exploration
Introduction to architecture explorationIntroduction to architecture exploration
Introduction to architecture exploration
 
Using ai for optimal time sensitive networking in avionics
Using ai for optimal time sensitive networking in avionicsUsing ai for optimal time sensitive networking in avionics
Using ai for optimal time sensitive networking in avionics
 
Is accurate system-level power measurement challenging? Check this out!
Is accurate system-level power measurement challenging? Check this out!Is accurate system-level power measurement challenging? Check this out!
Is accurate system-level power measurement challenging? Check this out!
 
Architectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthArchitectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidth
 
Mirabilis design Inc - Brochure
Mirabilis design Inc - BrochureMirabilis design Inc - Brochure
Mirabilis design Inc - Brochure
 

Kürzlich hochgeladen

Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...Call Girls in Nagpur High Profile
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdfKamal Acharya
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxfenichawla
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Bookingdharasingh5698
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdfKamal Acharya
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfKamal Acharya
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesPrabhanshu Chaturvedi
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdfankushspencer015
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Christo Ananth
 

Kürzlich hochgeladen (20)

Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and Properties
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
 

Accelerated development in Automotive E/E Systems using VisualSim Architect

  • 1. Accelerated development in Automotive E/E Systems using VisualSim Architect
  • 2. Logistics of the Webinar 2 All attendees will be placed on mute To ask a question, click on Cloud Chat sign and type the question. Folks are standing by to answer your questions. There will also be a time at the end for Q&A
  • 3. Agenda Trends Key Automotive E/E systems technologies – Hardware, Networking and Software Introduction to system modeling – assembling Automotive E/E systems Examples and Use Cases Mirabilis Design and VisualSim Architect
  • 4. Challenges in the Development of E/E Systems for Auto Require a single communication, tracking, exploration and testing mechanism from Requirements to post-sales diagnostics What-if study platform to scale from a small experiment to the complete vehicle architecture Link all studies with exploration and generation of tests Hardware, software and network teams must work of a single specification evaluation platform Trade-off must incorporate performance, power and functionality vs., safety, reliability, quality and cost
  • 5. VisualSim Automotive E/E System Development Platform •VisualSim Architect can model the complete Automotive Electrical/Electronic system • Hardware • Software • Network •VisualSim models can evaluate • Performance • Power • Functionality •System can be tested for faults • Hardware failure • Software failure • RTOS failure • Power failure • Network failure
  • 6. Key VisualSim Technologies Networking Protocols ◦ CAN, CAN/FD, FlexRay, AVB/TSN/TTE/GiE, AFDX, 5G/6G, Limited V2V Hardware and Software ◦ Gateways, switches, processor, schedulers, arbitrations, queues and Tx/Rx ◦ Task graph, software code execution, hardware programming integration Infrastructure and Integration ◦ Traffic generation (Distribution, CSV, random variation and trace), ARXML, Wireshark, Test instruments ◦ Cybersecurity, failure modes, error generation ◦ Distributed multi-core simulation All-in-One package for Network Analysis, Verification and Testing
  • 7. Why Study Bandwidth Allocations & Timing Deadline? T3 Expected T3 Complete Consider three Packets- T1, T2 and T3 All tasks allocated to a single channel Each Task has equal processing time and priority (T1>T2>T3) Design Impacts of buffering, preemption, offset times and processing capacity Challenges must be studied globally, multiple attributes dependency is static and dynamic
  • 8. Selecting the right Architecture Scheduling/Arbitration proportional share WFQ static dynamic fixed priority EDF TDMA FCFS Communication Templates Architecture # 1 Architecture # 2 Computation Templates DSP AI GPU DRAM CPU FPGA m E DSP TDMA Priority EDF WFQ RISC DSP LookUp Cipher AI DS P CPU GP U mE DD R static Which architecture is better suited for our application?
  • 9. Architecture Exploration Methodology Product Concept, Optimized Architecture, Development and Support Handle 3 cameras, 4 Lidars & 5 Radars 95% cache hit-ratio Gateways to handle WiFi, BLE and TSN Power consumption Safety and security Product Requirements Output Optimized Architecture CPU_1 CPU_2 Cache RAM B U S Rough/Existing Architecture Semiconductor Systems and Software Assemble Models Conduct Trade-offs Architecture Optimization Functional Analysis VisualSim Environment Mirabilis Design Inc. 9 HW, SW and Network Development Documentation
  • 10. AI Links Requirements with exploration Optimize Parameter to meet the requirements Requirements Database System Model Recommendations Diagnostics
  • 11. System Model Experiments Different Gate Control Lists (GCL) for Time Aware Shaper (TAS) to meet timing deadlines Credit Based Shaper vs Strict Priority scheduling ◦ To meet bandwidth requirements for Audio Video Bridging (AVB) Class A and Class B frames. Scalability test ◦ More nodes, more traffic, more variations Performance with and without redundancy Experiments the software mapping sequences ◦ Sequential execution vs parallel execution vs cascaded execution
  • 12. Common Evaluation Statistics Response times for safety critical tasks Throughput across Bus networks Packet drop rate across buffers, networks Memory usage CPU utilization Power consumption over a period of time – peak , avg power monitoring Battery discharge rate – with and without data encryption Credit analysis with frozen and non-frozen credit during GB (Guard Band) 11/10/2022 MIRABILIS DESIGN INC. 12
  • 13. Use case examples Network Modelling ◦ Network side of the automotive E/E system ◦ Quality of Service settings, routing algorithms, topology etc. Software Modelling ◦ Software definition and mapping of tasks in automotive ◦ Task cascading, task graph definition, execution of software code Hardware Modelling ◦ Hardware architecture of the automotive E/E system ◦ ECU design, resource management etc. Failure Analysis ◦ Injecting faults at various levels within automotive E/E system ◦ Hardware, Software, Power, RTOS and Networking Content
  • 15. Time Sensitive Networking (TSN) Standard case study – CBS vs Strict Priority •Agenda – Evaluate the performance gains – throughput, packet spacing , when using Credit Based Shaper enabled Switch vs a Strict Priority scheduler enabled switch. •Traffic – Class B traffic sent via Port A at an average rate of 90 Mbps and Best Effort (Priority 7) frames sent via port B at an average rate of 60 Mbps. Both AVB and BE frames are to be sent to the same destination port, port C. •Credit based shaper – Based on the sendslope and idleslope settings, bandwidth allocated for class A and class B can be moderated. AVB frames given higher priority than BE frames. • Idleslope = (Reservation_value * 1000000) / 8 • Sendslope = (Port transmission rate – Reservation_value)* 1000000) / 8 •Strict Priority scheduler – Scheduler gives resources to the higher priority frames first. Typically, AVB frame Class A mapped to Priority 5 and Class B mapped to Priority 4.
  • 17. USE CASE - 1 CREDIT BASED SHAPER – RESERVATION_VALUE = 55.0 Mbps
  • 18. Parameter settings Calculations - • Reservation_value = 55 Mbps • Idleslope = (Reservation_value * 1000000) / 8 = 6.875E6 bps = 6.875 Mbps • Sendslope = (Port transmission rate – Reservation_value)* 1000000) / 8 = 5.625E6 bps = 5.625 Mbps
  • 19. Results • Egress throughput shows that the AVB class could only use a maximum of 55 Mbps. The remaining 45 Mbps was used by BE frames • The Egress packet spacing looks considerably better without the abrupt spikes seen in the Ingress spacing.
  • 20. USE CASE - 2 STRICT PRIORITY SCHEDULER – NO CBS
  • 22. Results • Here, since BE frame has higher priority (7) than the AVB class B (4), BE frames are sent ahead of AVB frames and thus more bandwidth is consumed by the BE frames, shown by the Egress throughput plot. • Better packet spacing is seen for BE frames.
  • 23. Complex Multi-Layer Network Model Multi-agent traffic, Multi-protocol network studies
  • 24. Exploring Protocols Meet QoS Evaluate algorithms, QoS strategies and detect bottlenecks
  • 26. Evaluate Software Partitioning Model the entire Vehicle for a realistic analysis Hardware architecture / network topology Software sequence Sensors connected to the TSN Switches send data periodically to the processing nodes/units distributed across the network. The software sequences are mapped to the hardware. Here we have 4 software functions defined: Function 2 and 3 can start when Function 1 completes and for Function 4 to start, both Function 2 and 3 must complete.
  • 29. Sub-System or System Evaluation Brake ECU
  • 31. Input Data to the System model Sensor Data file Map Tasks to Processor Cores Task Mapping Table
  • 33. Failure Analysis – For improved safety and reliability
  • 34. Failure Analysis in: Hardware  One of the processor core dies. Tasks get remapped to active cores  Reduced buffer size due to memory loss  Data error due to Electro magnetic Interference  Sudden occurrence of alarms which leads to more core activity Software  Deadlock and Livelock  Resource starvation RTOS  App execution within a slot going over to the next slot and not meeting the slot schedule Power  Thermal shocks and lifecycle loss  Processor core shutdown due to not enough power Network  Fault Injector  Brute Force attack
  • 35. Hardware with failure without failure • Core failure – Core_1 is damaged. As a result, all core_1 tasks are mapped to other active cores (refer Timing Diagram) • EM Interference – Causes data corruption (refer logfile) • Memory loss – Total available space to which data can be written is reduced (refer logfile) • Burst Traffic – Sudden activation of alarm causes increased workload on processor cores (refer latency plot)
  • 36. Software Failure The model represents the read and write problem and how to resolve it. Initially, data is read, then data is updated the result will be analyzed by identifying the read data = write data and if it is not, another request will be sent to fetch updated value. So this model represents the failure, when the algorithm result change due to the changes in memory value. Incorrect Data packets Correct Data packets Read & Write Problem
  • 38. Detecting and handling Deadlock – Task Graphs No deadlock Deadlock due to credits not being released for flow 0 Flow 0 not being executed anymore
  • 39. Detecting and handling Livelock Livelock detected. Instructions are being executed in loop at an unexpected label From the per label stats, we can see a set of instructions being executed at very high occurrence and the latency keep going up Without livelock With livelock
  • 40. RTOS Failure Disabled slot due to greater execution time of the task This model represents multiple tasks running at different slots in a processor core. If the time it took to complete execution of an application of a particular slot exceeds the threshold, then that slot will be disabled and restarted after the restart time. The latency for all the tasks that are running for each of the slot is calculated. Disabled slot information will be printed on the console window.
  • 41. Core shutdown due to low power availability Without power drop With power drop Latency at higher value
  • 43. Results No Faults injected Message Loss injected Incorrect Addressing
  • 45. TC10 Integrated Software sw1 sw2 sw3 Node1 Node2 Node3 Node4 Node5 • Wake up event on “Node5”; Need to talk to “Node2” • Wake up information has to go through links A, B, C and D • Link C is already active! A B C D - Active ECU - ECU sleeping - Active port - Port down Active link Link down Software Software Abstraction Hardware • Multiple services per hardware • The software defines the hardware
  • 47. About Mirabilis Design Engineering Solutions focused on innovation in electronics Based in Silicon Valley, USA Development and support centers in US, India, Japan, China and Czech 60 large corporations, research centers and 73 universities as customers Enabled 250 products in semiconductors, automotive, defense and space VisualSim Architect is the system simulation and IP for hardware, software and networking
  • 48. Mirabilis Design – Milestones VisualSim Aerospace Simulator of the Year Hardware Modeling 2003 Company Incorporated 2005 Modeling Services 1st Customer 2008 Stochastic Modeling Innovation Award 2010 Integration API 10th customer 2011 Network Modeling University Program 2013 2015 2018 Best ESL at DAC 2nd at Arm TechCon 2019 VisualSim Automotive Europe operations 2020 Failure Analysis Created Asia Team 2021 Best Embedded Systems Presentation Award – DAC 2021 SysML API Requirements 2018 New VisualSim 2022 Best in Show Embedded World 2023 Communication System Designer 2022 System Verilog and UPF/CPF Link
  • 49. VisualSim Architect Cloud and Desktop Multi-simulation engine- Digital, Untimed & Continuous Library of Systems, Networks, Semi, FPGA & Software Generate statistics, documentation & traces Algorithms Protocol AI Insight Performance Power Functional Stochastic Scripting Sim API Performance Latency, Throughput, Buffer occupancy Power Instant, Average, Cumulative, Heat, Temperature Battery and power generation sizing Functionality Correctness, efficiency and Quality-of-Service Failure Analysis and Functional Safety Generate errors and test for compliance Software Evaluation Test quality of C++ and impact on system performance System-level Modeling and Simulation Software that integrates requirements, exploration & verification
  • 50. Over 500 Systems-Level IP Components Comprehensive implementation-accurate Library Traffic • Distribution • Sequence • Trace file • Instruction profile Power • State power table • Power management • Energy harvesters • Battery • RegEx operators SoC Buses • AMBA and Corelink • AHB, APB, AXI, ACE, CHI, CMN600 • Network-on-Chip • TileLink System Bus • PCI / PCI- X / PCIe • Rapid IO • AFDX • OpenVPX • VME • SPI 3.0 • 1553B ARM • M-, R-, 7TDMI • A8, A53, A55, A72, A76, A77, Neoverse Custom Creator • Script language • 600 RegEx fn • Task graph • Tracer • C/C++/Java • Python Stochastic • FIFO/LIFO Queue • Time Queue • Quantity Queue • System Resource • Schedulers • Cyber Security Memory • Memory Controller • DDR DRAM 2,3,4, 5 • LPDDR 2, 3, 4 • HBM, HMC • SDR, QDR, RDRAM Networking • Ethernet & GiE • Audio-Video Bridging • 802.11 and Bluetooth • 5G • Spacewire • CAN-FD • TTEthernet • FlexRay • TSN & IEEE802.1Q • ARINC 664/AFDX Interfaces • Virtual Channel • DMA • Crossbar • Serial Switch • Bridge Algorithms • Signal Processing • Analog • Antenna RTOS • Template • ARINC 653 • AUTOSAR Storage • Flash & NVMe • Storage Array • Disk and SATA • Fibre Channel • FireWire Software • GEM5 • Software code integration • Instruction trace • Statistical software model • Task graph RTL-Like • Clock, Wire-Delay • Registers, Latches • Flip-flop • ALU and FSM • Mux, DeMux • Lookup table Processors • GPU, DSP, mP and mC • RISC-V • SiFive u74 • Nvidia- Drive-PX • PowerPC • X86- Intel and AMD • DSP- TI and ADI • MIPS, Tensilica, SH Reports • Timing and Buffer • Throughput/Util • Ave/peak power • Statistics FPGA • Xilinx- Zynq, Virtex, Kintex • Intel-Stratix, Arria • Microsemi- Smartfusion • Programmable logic template • Interface traffic generator
  • 51. Using Code-based Design Methodology Project Schedule Model Creation (6) Implementation (18) Analysis (1.5) Communication and Refinement (6) Implementation (15) Using Model-Based Design Methodology Note: All times in months Communication and Refinement (4) Analysis (2.5) Model Creation (1) System Modelling Benefits Average gain for 24 month project is 25%-30% Highest Quality Product Accelerate Model development Average increase in revenue per project = $??M
  • 52. Accelerated development in Automotive E/E Systems using VisualSim Architect