SlideShare ist ein Scribd-Unternehmen logo
Adder comparisons and New
       (1,1,1)adder



       Peeyush Pashine
       2011H140033H
Brent Kung adder
Sklansky adder
(p8, g8)   (p7, g7)   (p6, g6)   (p5, g5)   (p4, g4)   (p3, g3)   (p2, g2) (p1, g1)




    c8         c7         c6         c5         c4         c3         c2        c1
Skalnsky adder 16 bit

   15 14 13 12 11 10 9                    8        7        6        5   4        3        2        1   0


15:1         13:1         11:1       9:       7:                5:           3:                1:
 4            2            0         8        6                 4            2                 0

15:1 14:1                  11: 10:            7:       6:                    3:       2:
 2    2                     8   8             4        4                     0        0

 15:   14:    13:   12:
  8     8      8     8




 15:014:013:0 12:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Ladner fischer adder
   15 14 13 12 11 10             9   8         7   6         5   4         3   2         1   0


15:14    13:12    11:10    9:8           7:6           5:4           3:2           1:0


15:12              11:8                  7:4                         3:0


 15:8     13:8                           7:0           5:0


 15:8     13:0    11:0     9:0




  15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Kogge stone adder
   15 14 13 12 11 10                           9         8         7         6         5         4         3         2         1   0


15:14 14:13 13:12 12:11 11:10 10:9       9:8       8:7       7:6       6:5       5:4       4:3       3:2       2:1       1:0


15:12 14:11 13:10     12:9   11:8 10:7   9:6       8:5       7:4       6:3       5:2       4:1       3:0       2:0



 15:8   14:7   13:6   12:5   11:4 10:3   9:2       8:1       7:0       6:0       5:0       4:0




  15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Classical prefix adders
8     7     6     5     4     3     2     1    8      7     6     5     4     3     2     1   8      7    6     5     4     3     2     1




8:1   7:1   6:1   5:1   4:1   3:1   2:1   1    8:1    7:1   6:1   5:1   4:1   3:1   2:1   1   8:1   7:1   6:1   5:1   4:1   3:1   2:1   1

      Brent-Kung:                                    Sklansky:                                      Kogge-Stone:
      Logical levels: 2log2n–1                       Logical levels: log2n                          Logical levels: log2n
      Max fanouts: 2                                 Max fanouts: n/2                               Max fanouts: 2
      Wire tracks: 1                                 Wire tracks: 1                                 Wire tracks: n/2

                                                                                                                                            7
Knowles 2,1,1,1
Knowles 4,2,1,1
Topology of some prefix adders


       Brent-Kung topology
       (Minimum fan-out)




                             Knowles
                             topologies
                             (Varied fan-out
                             at each level )


                             Ladner-Fischer
                             topology
                             (Minimum depth, high
                             fanout)
Prefix adder taxonomy
New (1,1,1) Adder
12     11     10     9   8     7     6     5     4     3     2     1




12:1    11:1   10:1   9:1   8:1   7:1   6:1   5:1   4:1   3:1   2:1   1

Weitere ähnliche Inhalte

Was ist angesagt?

180nm process typical parameter values
180nm process typical parameter values180nm process typical parameter values
180nm process typical parameter values
Mark Abadies
 
Design of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLDesign of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDL
Vishesh Thakur
 

Was ist angesagt? (20)

Data Flow Modeling
Data Flow ModelingData Flow Modeling
Data Flow Modeling
 
Verilog coding of demux 8 x1
Verilog coding of demux  8 x1Verilog coding of demux  8 x1
Verilog coding of demux 8 x1
 
Basics of vlsi
Basics of vlsiBasics of vlsi
Basics of vlsi
 
180nm process typical parameter values
180nm process typical parameter values180nm process typical parameter values
180nm process typical parameter values
 
Vlsi
VlsiVlsi
Vlsi
 
SHORT CHANNEL EFFECTS IN MOSFETS- VLSI DESIGN
SHORT CHANNEL EFFECTS IN MOSFETS- VLSI DESIGNSHORT CHANNEL EFFECTS IN MOSFETS- VLSI DESIGN
SHORT CHANNEL EFFECTS IN MOSFETS- VLSI DESIGN
 
Rc delay modelling in vlsi
Rc delay modelling in vlsiRc delay modelling in vlsi
Rc delay modelling in vlsi
 
Switch level modeling
Switch level modelingSwitch level modeling
Switch level modeling
 
Design and development of carry select adder
Design and development of carry select adderDesign and development of carry select adder
Design and development of carry select adder
 
Digital logic design part1
Digital logic design part1Digital logic design part1
Digital logic design part1
 
Asynchronous Sequential Circuit-Unit 4 ppt
Asynchronous Sequential Circuit-Unit 4 pptAsynchronous Sequential Circuit-Unit 4 ppt
Asynchronous Sequential Circuit-Unit 4 ppt
 
Design of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLDesign of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDL
 
OSCILATORS introduction & ring oscillator
OSCILATORS introduction & ring oscillator OSCILATORS introduction & ring oscillator
OSCILATORS introduction & ring oscillator
 
Design of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOSDesign of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOS
 
VERILOG CODE FOR Adder
VERILOG CODE FOR AdderVERILOG CODE FOR Adder
VERILOG CODE FOR Adder
 
finfet & dg-fet technology
finfet & dg-fet technologyfinfet & dg-fet technology
finfet & dg-fet technology
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
4 bit uni shift reg
4 bit uni shift reg4 bit uni shift reg
4 bit uni shift reg
 
Shortest Path in Graph
Shortest Path in GraphShortest Path in Graph
Shortest Path in Graph
 
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...
 

Andere mochten auch

Good report on Adders/Prefix adders
Good report on Adders/Prefix addersGood report on Adders/Prefix adders
Good report on Adders/Prefix adders
Peeyush Pashine
 
Parallel Prefix Adders Presentation
Parallel Prefix Adders PresentationParallel Prefix Adders Presentation
Parallel Prefix Adders Presentation
Peeyush Pashine
 
Wallace tree multiplier
Wallace tree multiplierWallace tree multiplier
Wallace tree multiplier
Sudhir Kumar
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
Gouthaman V
 

Andere mochten auch (17)

Good report on Adders/Prefix adders
Good report on Adders/Prefix addersGood report on Adders/Prefix adders
Good report on Adders/Prefix adders
 
Parallel Prefix Adders Presentation
Parallel Prefix Adders PresentationParallel Prefix Adders Presentation
Parallel Prefix Adders Presentation
 
Cmos Arithmetic Circuits
Cmos Arithmetic CircuitsCmos Arithmetic Circuits
Cmos Arithmetic Circuits
 
Lecture25
Lecture25Lecture25
Lecture25
 
Lecture28
Lecture28Lecture28
Lecture28
 
different logic full adders
different logic full addersdifferent logic full adders
different logic full adders
 
Final ppt
Final pptFinal ppt
Final ppt
 
L5 Adders
L5 AddersL5 Adders
L5 Adders
 
Half adder layout design
Half adder layout designHalf adder layout design
Half adder layout design
 
design of high speed performance 64bit mac unit
design of high speed performance 64bit mac unitdesign of high speed performance 64bit mac unit
design of high speed performance 64bit mac unit
 
Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1
 
Wallace tree multiplier
Wallace tree multiplierWallace tree multiplier
Wallace tree multiplier
 
Booth Multiplier
Booth MultiplierBooth Multiplier
Booth Multiplier
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
 
Array multiplier
Array multiplierArray multiplier
Array multiplier
 
Booths Multiplication Algorithm
Booths Multiplication AlgorithmBooths Multiplication Algorithm
Booths Multiplication Algorithm
 
Kogge Stone Adder
Kogge Stone AdderKogge Stone Adder
Kogge Stone Adder
 

Mehr von Peeyush Pashine (14)

Temperature Controlled Fan Report
Temperature Controlled Fan ReportTemperature Controlled Fan Report
Temperature Controlled Fan Report
 
Temperature Controlled Fan
Temperature Controlled FanTemperature Controlled Fan
Temperature Controlled Fan
 
Robots
RobotsRobots
Robots
 
Power Ingredients
Power IngredientsPower Ingredients
Power Ingredients
 
Itms
ItmsItms
Itms
 
Ecg
EcgEcg
Ecg
 
Dsp Presentation
Dsp PresentationDsp Presentation
Dsp Presentation
 
Adder Presentation
Adder PresentationAdder Presentation
Adder Presentation
 
My Report on adders
My Report on addersMy Report on adders
My Report on adders
 
Decimal arithmetic in Processors
Decimal arithmetic in ProcessorsDecimal arithmetic in Processors
Decimal arithmetic in Processors
 
Control Unit Working
Control Unit WorkingControl Unit Working
Control Unit Working
 
Smith Adder
Smith AdderSmith Adder
Smith Adder
 
Smith Adder
Smith AdderSmith Adder
Smith Adder
 
Report adders
Report addersReport adders
Report adders
 

111adder

  • 1. Adder comparisons and New (1,1,1)adder Peeyush Pashine 2011H140033H
  • 3. Sklansky adder (p8, g8) (p7, g7) (p6, g6) (p5, g5) (p4, g4) (p3, g3) (p2, g2) (p1, g1) c8 c7 c6 c5 c4 c3 c2 c1
  • 4. Skalnsky adder 16 bit 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15:1 13:1 11:1 9: 7: 5: 3: 1: 4 2 0 8 6 4 2 0 15:1 14:1 11: 10: 7: 6: 3: 2: 2 2 8 8 4 4 0 0 15: 14: 13: 12: 8 8 8 8 15:014:013:0 12:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 5. Ladner fischer adder 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15:14 13:12 11:10 9:8 7:6 5:4 3:2 1:0 15:12 11:8 7:4 3:0 15:8 13:8 7:0 5:0 15:8 13:0 11:0 9:0 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 6. Kogge stone adder 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15:14 14:13 13:12 12:11 11:10 10:9 9:8 8:7 7:6 6:5 5:4 4:3 3:2 2:1 1:0 15:12 14:11 13:10 12:9 11:8 10:7 9:6 8:5 7:4 6:3 5:2 4:1 3:0 2:0 15:8 14:7 13:6 12:5 11:4 10:3 9:2 8:1 7:0 6:0 5:0 4:0 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 7. Classical prefix adders 8 7 6 5 4 3 2 1 8 7 6 5 4 3 2 1 8 7 6 5 4 3 2 1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1 Brent-Kung: Sklansky: Kogge-Stone: Logical levels: 2log2n–1 Logical levels: log2n Logical levels: log2n Max fanouts: 2 Max fanouts: n/2 Max fanouts: 2 Wire tracks: 1 Wire tracks: 1 Wire tracks: n/2 7
  • 10. Topology of some prefix adders Brent-Kung topology (Minimum fan-out) Knowles topologies (Varied fan-out at each level ) Ladner-Fischer topology (Minimum depth, high fanout)
  • 13. 12 11 10 9 8 7 6 5 4 3 2 1 12:1 11:1 10:1 9:1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1