SlideShare ist ein Scribd-Unternehmen logo
1 von 13
Adder comparisons and New
       (1,1,1)adder



       Peeyush Pashine
       2011H140033H
Brent Kung adder
Sklansky adder
(p8, g8)   (p7, g7)   (p6, g6)   (p5, g5)   (p4, g4)   (p3, g3)   (p2, g2) (p1, g1)




    c8         c7         c6         c5         c4         c3         c2        c1
Skalnsky adder 16 bit

   15 14 13 12 11 10 9                    8        7        6        5   4        3        2        1   0


15:1         13:1         11:1       9:       7:                5:           3:                1:
 4            2            0         8        6                 4            2                 0

15:1 14:1                  11: 10:            7:       6:                    3:       2:
 2    2                     8   8             4        4                     0        0

 15:   14:    13:   12:
  8     8      8     8




 15:014:013:0 12:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Ladner fischer adder
   15 14 13 12 11 10             9   8         7   6         5   4         3   2         1   0


15:14    13:12    11:10    9:8           7:6           5:4           3:2           1:0


15:12              11:8                  7:4                         3:0


 15:8     13:8                           7:0           5:0


 15:8     13:0    11:0     9:0




  15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Kogge stone adder
   15 14 13 12 11 10                           9         8         7         6         5         4         3         2         1   0


15:14 14:13 13:12 12:11 11:10 10:9       9:8       8:7       7:6       6:5       5:4       4:3       3:2       2:1       1:0


15:12 14:11 13:10     12:9   11:8 10:7   9:6       8:5       7:4       6:3       5:2       4:1       3:0       2:0



 15:8   14:7   13:6   12:5   11:4 10:3   9:2       8:1       7:0       6:0       5:0       4:0




  15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Classical prefix adders
8     7     6     5     4     3     2     1    8      7     6     5     4     3     2     1   8      7    6     5     4     3     2     1




8:1   7:1   6:1   5:1   4:1   3:1   2:1   1    8:1    7:1   6:1   5:1   4:1   3:1   2:1   1   8:1   7:1   6:1   5:1   4:1   3:1   2:1   1

      Brent-Kung:                                    Sklansky:                                      Kogge-Stone:
      Logical levels: 2log2n–1                       Logical levels: log2n                          Logical levels: log2n
      Max fanouts: 2                                 Max fanouts: n/2                               Max fanouts: 2
      Wire tracks: 1                                 Wire tracks: 1                                 Wire tracks: n/2

                                                                                                                                            7
Knowles 2,1,1,1
Knowles 4,2,1,1
Topology of some prefix adders


       Brent-Kung topology
       (Minimum fan-out)




                             Knowles
                             topologies
                             (Varied fan-out
                             at each level )


                             Ladner-Fischer
                             topology
                             (Minimum depth, high
                             fanout)
Prefix adder taxonomy
New (1,1,1) Adder
12     11     10     9   8     7     6     5     4     3     2     1




12:1    11:1   10:1   9:1   8:1   7:1   6:1   5:1   4:1   3:1   2:1   1

Weitere ähnliche Inhalte

Was ist angesagt?

Ancortek IEEE 2015 Radar Conference Presentation
Ancortek IEEE 2015 Radar Conference PresentationAncortek IEEE 2015 Radar Conference Presentation
Ancortek IEEE 2015 Radar Conference Presentationancortek
 
ZytleBot:ROS対応自動走行ロボットへのFPGA活用を加速化する統合開発プラットフォーム
ZytleBot:ROS対応自動走行ロボットへのFPGA活用を加速化する統合開発プラットフォームZytleBot:ROS対応自動走行ロボットへのFPGA活用を加速化する統合開発プラットフォーム
ZytleBot:ROS対応自動走行ロボットへのFPGA活用を加速化する統合開発プラットフォームHideki Takase
 
【DL輪読会】Investigating Tradeoffs in Real-World Video Super-Resolution
【DL輪読会】Investigating Tradeoffs in Real-World Video Super-Resolution【DL輪読会】Investigating Tradeoffs in Real-World Video Super-Resolution
【DL輪読会】Investigating Tradeoffs in Real-World Video Super-ResolutionDeep Learning JP
 
Spark SQL: Another 16x Faster After Tungsten: Spark Summit East talk by Brad ...
Spark SQL: Another 16x Faster After Tungsten: Spark Summit East talk by Brad ...Spark SQL: Another 16x Faster After Tungsten: Spark Summit East talk by Brad ...
Spark SQL: Another 16x Faster After Tungsten: Spark Summit East talk by Brad ...Spark Summit
 
Why you should care about data layout in the file system with Cheng Lian and ...
Why you should care about data layout in the file system with Cheng Lian and ...Why you should care about data layout in the file system with Cheng Lian and ...
Why you should care about data layout in the file system with Cheng Lian and ...Databricks
 
Apache Spark Core—Deep Dive—Proper Optimization
Apache Spark Core—Deep Dive—Proper OptimizationApache Spark Core—Deep Dive—Proper Optimization
Apache Spark Core—Deep Dive—Proper OptimizationDatabricks
 
1. FPGA architectures.pdf
1. FPGA architectures.pdf1. FPGA architectures.pdf
1. FPGA architectures.pdfTesfuFiseha1
 
R MarkdownとBeamerでプレゼンテーション資料作成
R MarkdownとBeamerでプレゼンテーション資料作成R MarkdownとBeamerでプレゼンテーション資料作成
R MarkdownとBeamerでプレゼンテーション資料作成Hiroki Itô
 
Top 5 Mistakes to Avoid When Writing Apache Spark Applications
Top 5 Mistakes to Avoid When Writing Apache Spark ApplicationsTop 5 Mistakes to Avoid When Writing Apache Spark Applications
Top 5 Mistakes to Avoid When Writing Apache Spark ApplicationsCloudera, Inc.
 
LuaTeX-jaとbeamerで言語学関連のスライドを作る
LuaTeX-jaとbeamerで言語学関連のスライドを作るLuaTeX-jaとbeamerで言語学関連のスライドを作る
LuaTeX-jaとbeamerで言語学関連のスライドを作るAkira Miyazawa
 
Sliced Wasserstein Distance for Learning Gaussian Mixture Models
Sliced Wasserstein Distance for Learning Gaussian Mixture ModelsSliced Wasserstein Distance for Learning Gaussian Mixture Models
Sliced Wasserstein Distance for Learning Gaussian Mixture ModelsFujimoto Keisuke
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the unionRISC-V International
 
AIチップ戦国時代における深層学習モデルの推論の最適化と実用的な運用を可能にするソフトウェア技術について
AIチップ戦国時代における深層学習モデルの推論の最適化と実用的な運用を可能にするソフトウェア技術についてAIチップ戦国時代における深層学習モデルの推論の最適化と実用的な運用を可能にするソフトウェア技術について
AIチップ戦国時代における深層学習モデルの推論の最適化と実用的な運用を可能にするソフトウェア技術についてFixstars Corporation
 
Securing Your Apache Spark Applications
Securing Your Apache Spark ApplicationsSecuring Your Apache Spark Applications
Securing Your Apache Spark ApplicationsCloudera, Inc.
 
[DL輪読会]Weight Agnostic Neural Networks
[DL輪読会]Weight Agnostic Neural Networks[DL輪読会]Weight Agnostic Neural Networks
[DL輪読会]Weight Agnostic Neural NetworksDeep Learning JP
 
[DL輪読会]Deep Anomaly Detection Using Geometric Transformations
[DL輪読会]Deep Anomaly Detection Using Geometric Transformations[DL輪読会]Deep Anomaly Detection Using Geometric Transformations
[DL輪読会]Deep Anomaly Detection Using Geometric TransformationsDeep Learning JP
 
ROS2勉強会 4章前半
ROS2勉強会 4章前半ROS2勉強会 4章前半
ROS2勉強会 4章前半tomohiro kuwano
 
ros_whillとROS2対応(ROS勉強会第28回LT大会)
ros_whillとROS2対応(ROS勉強会第28回LT大会)ros_whillとROS2対応(ROS勉強会第28回LT大会)
ros_whillとROS2対応(ROS勉強会第28回LT大会)Seiya Shimizu
 

Was ist angesagt? (20)

Ancortek IEEE 2015 Radar Conference Presentation
Ancortek IEEE 2015 Radar Conference PresentationAncortek IEEE 2015 Radar Conference Presentation
Ancortek IEEE 2015 Radar Conference Presentation
 
ZytleBot:ROS対応自動走行ロボットへのFPGA活用を加速化する統合開発プラットフォーム
ZytleBot:ROS対応自動走行ロボットへのFPGA活用を加速化する統合開発プラットフォームZytleBot:ROS対応自動走行ロボットへのFPGA活用を加速化する統合開発プラットフォーム
ZytleBot:ROS対応自動走行ロボットへのFPGA活用を加速化する統合開発プラットフォーム
 
【DL輪読会】Investigating Tradeoffs in Real-World Video Super-Resolution
【DL輪読会】Investigating Tradeoffs in Real-World Video Super-Resolution【DL輪読会】Investigating Tradeoffs in Real-World Video Super-Resolution
【DL輪読会】Investigating Tradeoffs in Real-World Video Super-Resolution
 
Spark SQL: Another 16x Faster After Tungsten: Spark Summit East talk by Brad ...
Spark SQL: Another 16x Faster After Tungsten: Spark Summit East talk by Brad ...Spark SQL: Another 16x Faster After Tungsten: Spark Summit East talk by Brad ...
Spark SQL: Another 16x Faster After Tungsten: Spark Summit East talk by Brad ...
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Why you should care about data layout in the file system with Cheng Lian and ...
Why you should care about data layout in the file system with Cheng Lian and ...Why you should care about data layout in the file system with Cheng Lian and ...
Why you should care about data layout in the file system with Cheng Lian and ...
 
Apache Spark Core—Deep Dive—Proper Optimization
Apache Spark Core—Deep Dive—Proper OptimizationApache Spark Core—Deep Dive—Proper Optimization
Apache Spark Core—Deep Dive—Proper Optimization
 
1. FPGA architectures.pdf
1. FPGA architectures.pdf1. FPGA architectures.pdf
1. FPGA architectures.pdf
 
R MarkdownとBeamerでプレゼンテーション資料作成
R MarkdownとBeamerでプレゼンテーション資料作成R MarkdownとBeamerでプレゼンテーション資料作成
R MarkdownとBeamerでプレゼンテーション資料作成
 
Top 5 Mistakes to Avoid When Writing Apache Spark Applications
Top 5 Mistakes to Avoid When Writing Apache Spark ApplicationsTop 5 Mistakes to Avoid When Writing Apache Spark Applications
Top 5 Mistakes to Avoid When Writing Apache Spark Applications
 
LuaTeX-jaとbeamerで言語学関連のスライドを作る
LuaTeX-jaとbeamerで言語学関連のスライドを作るLuaTeX-jaとbeamerで言語学関連のスライドを作る
LuaTeX-jaとbeamerで言語学関連のスライドを作る
 
Sliced Wasserstein Distance for Learning Gaussian Mixture Models
Sliced Wasserstein Distance for Learning Gaussian Mixture ModelsSliced Wasserstein Distance for Learning Gaussian Mixture Models
Sliced Wasserstein Distance for Learning Gaussian Mixture Models
 
09 placement
09 placement09 placement
09 placement
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the union
 
AIチップ戦国時代における深層学習モデルの推論の最適化と実用的な運用を可能にするソフトウェア技術について
AIチップ戦国時代における深層学習モデルの推論の最適化と実用的な運用を可能にするソフトウェア技術についてAIチップ戦国時代における深層学習モデルの推論の最適化と実用的な運用を可能にするソフトウェア技術について
AIチップ戦国時代における深層学習モデルの推論の最適化と実用的な運用を可能にするソフトウェア技術について
 
Securing Your Apache Spark Applications
Securing Your Apache Spark ApplicationsSecuring Your Apache Spark Applications
Securing Your Apache Spark Applications
 
[DL輪読会]Weight Agnostic Neural Networks
[DL輪読会]Weight Agnostic Neural Networks[DL輪読会]Weight Agnostic Neural Networks
[DL輪読会]Weight Agnostic Neural Networks
 
[DL輪読会]Deep Anomaly Detection Using Geometric Transformations
[DL輪読会]Deep Anomaly Detection Using Geometric Transformations[DL輪読会]Deep Anomaly Detection Using Geometric Transformations
[DL輪読会]Deep Anomaly Detection Using Geometric Transformations
 
ROS2勉強会 4章前半
ROS2勉強会 4章前半ROS2勉強会 4章前半
ROS2勉強会 4章前半
 
ros_whillとROS2対応(ROS勉強会第28回LT大会)
ros_whillとROS2対応(ROS勉強会第28回LT大会)ros_whillとROS2対応(ROS勉強会第28回LT大会)
ros_whillとROS2対応(ROS勉強会第28回LT大会)
 

Andere mochten auch

Good report on Adders/Prefix adders
Good report on Adders/Prefix addersGood report on Adders/Prefix adders
Good report on Adders/Prefix addersPeeyush Pashine
 
Parallel Prefix Adders Presentation
Parallel Prefix Adders PresentationParallel Prefix Adders Presentation
Parallel Prefix Adders PresentationPeeyush Pashine
 
Cmos Arithmetic Circuits
Cmos Arithmetic CircuitsCmos Arithmetic Circuits
Cmos Arithmetic Circuitsankitgoel
 
Design of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOSDesign of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOSNirav Desai
 
different logic full adders
different logic full addersdifferent logic full adders
different logic full addersGuna Sekhar
 
design of high speed performance 64bit mac unit
design of high speed performance 64bit mac unitdesign of high speed performance 64bit mac unit
design of high speed performance 64bit mac unitShiva Narayan Reddy
 
Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1vamshi krishna
 
Wallace tree multiplier
Wallace tree multiplierWallace tree multiplier
Wallace tree multiplierSudhir Kumar
 
Bit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBhargavKatkam
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programsGouthaman V
 
Booths Multiplication Algorithm
Booths Multiplication AlgorithmBooths Multiplication Algorithm
Booths Multiplication Algorithmknightnick
 

Andere mochten auch (19)

Good report on Adders/Prefix adders
Good report on Adders/Prefix addersGood report on Adders/Prefix adders
Good report on Adders/Prefix adders
 
Parallel Prefix Adders Presentation
Parallel Prefix Adders PresentationParallel Prefix Adders Presentation
Parallel Prefix Adders Presentation
 
Cmos Arithmetic Circuits
Cmos Arithmetic CircuitsCmos Arithmetic Circuits
Cmos Arithmetic Circuits
 
Lecture25
Lecture25Lecture25
Lecture25
 
Lecture28
Lecture28Lecture28
Lecture28
 
Design of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOSDesign of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOS
 
different logic full adders
different logic full addersdifferent logic full adders
different logic full adders
 
Final ppt
Final pptFinal ppt
Final ppt
 
L5 Adders
L5 AddersL5 Adders
L5 Adders
 
Half adder layout design
Half adder layout designHalf adder layout design
Half adder layout design
 
design of high speed performance 64bit mac unit
design of high speed performance 64bit mac unitdesign of high speed performance 64bit mac unit
design of high speed performance 64bit mac unit
 
Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1
 
Wallace tree multiplier
Wallace tree multiplierWallace tree multiplier
Wallace tree multiplier
 
Booth Multiplier
Booth MultiplierBooth Multiplier
Booth Multiplier
 
Bit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBit Serial multiplier using Verilog
Bit Serial multiplier using Verilog
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
 
Array multiplier
Array multiplierArray multiplier
Array multiplier
 
Booths Multiplication Algorithm
Booths Multiplication AlgorithmBooths Multiplication Algorithm
Booths Multiplication Algorithm
 
Kogge Stone Adder
Kogge Stone AdderKogge Stone Adder
Kogge Stone Adder
 

Mehr von Peeyush Pashine (14)

Temperature Controlled Fan Report
Temperature Controlled Fan ReportTemperature Controlled Fan Report
Temperature Controlled Fan Report
 
Temperature Controlled Fan
Temperature Controlled FanTemperature Controlled Fan
Temperature Controlled Fan
 
Robots
RobotsRobots
Robots
 
Power Ingredients
Power IngredientsPower Ingredients
Power Ingredients
 
Itms
ItmsItms
Itms
 
Ecg
EcgEcg
Ecg
 
Dsp Presentation
Dsp PresentationDsp Presentation
Dsp Presentation
 
Adder Presentation
Adder PresentationAdder Presentation
Adder Presentation
 
My Report on adders
My Report on addersMy Report on adders
My Report on adders
 
Decimal arithmetic in Processors
Decimal arithmetic in ProcessorsDecimal arithmetic in Processors
Decimal arithmetic in Processors
 
Control Unit Working
Control Unit WorkingControl Unit Working
Control Unit Working
 
Smith Adder
Smith AdderSmith Adder
Smith Adder
 
Smith Adder
Smith AdderSmith Adder
Smith Adder
 
Report adders
Report addersReport adders
Report adders
 

111adder

  • 1. Adder comparisons and New (1,1,1)adder Peeyush Pashine 2011H140033H
  • 3. Sklansky adder (p8, g8) (p7, g7) (p6, g6) (p5, g5) (p4, g4) (p3, g3) (p2, g2) (p1, g1) c8 c7 c6 c5 c4 c3 c2 c1
  • 4. Skalnsky adder 16 bit 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15:1 13:1 11:1 9: 7: 5: 3: 1: 4 2 0 8 6 4 2 0 15:1 14:1 11: 10: 7: 6: 3: 2: 2 2 8 8 4 4 0 0 15: 14: 13: 12: 8 8 8 8 15:014:013:0 12:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 5. Ladner fischer adder 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15:14 13:12 11:10 9:8 7:6 5:4 3:2 1:0 15:12 11:8 7:4 3:0 15:8 13:8 7:0 5:0 15:8 13:0 11:0 9:0 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 6. Kogge stone adder 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15:14 14:13 13:12 12:11 11:10 10:9 9:8 8:7 7:6 6:5 5:4 4:3 3:2 2:1 1:0 15:12 14:11 13:10 12:9 11:8 10:7 9:6 8:5 7:4 6:3 5:2 4:1 3:0 2:0 15:8 14:7 13:6 12:5 11:4 10:3 9:2 8:1 7:0 6:0 5:0 4:0 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 7. Classical prefix adders 8 7 6 5 4 3 2 1 8 7 6 5 4 3 2 1 8 7 6 5 4 3 2 1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1 Brent-Kung: Sklansky: Kogge-Stone: Logical levels: 2log2n–1 Logical levels: log2n Logical levels: log2n Max fanouts: 2 Max fanouts: n/2 Max fanouts: 2 Wire tracks: 1 Wire tracks: 1 Wire tracks: n/2 7
  • 10. Topology of some prefix adders Brent-Kung topology (Minimum fan-out) Knowles topologies (Varied fan-out at each level ) Ladner-Fischer topology (Minimum depth, high fanout)
  • 13. 12 11 10 9 8 7 6 5 4 3 2 1 12:1 11:1 10:1 9:1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1