SlideShare ist ein Scribd-Unternehmen logo
1 von 14
Downloaden Sie, um offline zu lesen
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
DOI:10.5121/ijcsit.2015.7610 117
COMPARATIVE ANALYSIS OF SINGLE-CORE AND
MULTI-CORE SYSTEMS
Ogundairo Johnson1
and Omosehinmi Dinyo2
1
Department of Information Technology, National Open University of Nigeria (NOUN),
Enugu Campus, Enugu, Nigeria
2
Department of Physics, Federal University of Technology, Akure, Nigeria
ABSTRACT
Overall performance of computer systems are better investigated and evaluated when its various
components are considered, components such as the hardware, software and firmware. The comparative
analysis of single-core and multi-core systems was carried out using Intel Pentium G640T 2.4GHz dual-
core, Intel Pentium IV 2.4GHz single-core and Intel Pentium IV 2.8GHz single-core systems. The approach
method was using hi-tech benchmarking and stress testing software(s) to examine systems’ CPU and RAM
for performance and stability. In all the tests, the components of dual-core had better rating when
compared with single-core components; GFLOP result, and execution time for various processes rank
G640T 2.4GHz dual-core above Pentium IV 2.4GHz and 2.8GHz single-core respectively.
KEYWORDS
FLOP, ILP, TLP, Multi-core, Single-core, Novabench & Linpack.
1. INTRODUCTION
Operational advancements of microprocessors over the years were primarily due to speed
(frequency) and parallelism increment [1] [2] [3] [13]. The addition of more transistors has led to
other ways and/or techniques of increasing parallelism and improving performance [13].
Subsequently, architects then sought to increase parallelism by executing multiple instructions
simultaneously (instruction-level parallelism or ILP) through pipelining techniques and
superscalar architectures and to reduce the latency of accessing memory with ever larger on-chip
caches. Microprocessors further increased ILP by implementing out-of-order execution engines
that completed useful work instead of stalling on data and control dependencies [4]. It is now
obvious that ILP increment, due to energy, heat and wire delay issues can no longer provide
performance improvements that track Moore’s Law [5]. Therefore, vendors have shifted attention
to exploring thread-level parallelism (TLP) by designing chips with multiple processors,
otherwise known as Multi-core or Chip Multiprocessors (CMPs). The implementation of high
level TLP on multi-core(s) will continue to provide performance improvement while dealing with
the traditional technology issues faced by single-core performance [4]. These new designs are
referred to as multi-core processors because it has minimum of two execution cores with distinct
execution pipelines, functional units and usually one level of private cache [24].
A Multi-core processor consists of two or more cores on a single die [6] [9] [26]. The cores of
CMPs are essential components usually computation units and caches [6]. The individual cores
on a multi-core processor don’t necessarily run as fast as the highest performing single-core
processors, but they improve overall performance by handling more workloads in parallel [7] [8].
International Journal of Computer Science &
The performance of single-core and multi
the two execute programs [9]. Single
different time slices for these programs; i
then all the rest of the processes start lagging behind [9]. However, in the case of multi
processors if you have multiple tasks that can be run in parallel at the same time, each of them
will be executed by a separate core in para
It is a general belief that multi
advantages over single-core processor
wiring which reduced the delay among cores instead of going off
width and instruction window size incur linear increment on the chip area of multi
processors whereas a quadratic increment is incurred in single
the design-partition of multi-core processors a
powered down or powered up as and when needed by the application contributing to overall
power dissipation savings [9]. The multiple cores inside the chip are not clocked at a higher
frequency, however, their high-
overall performance making them more energy efficient and low power cores [8]
power consumption has been one of the critical concerns in single
Figure 1:
Single-core Architecture
Figure 1 and 2 illustrates the architecture of a processing system with one core (single
two cores (multi-core) respectively
processing pipelines (integer and floating
instruction and data caches. Recently manufactured
dual-core (i.e. multi-core) system i
processing systems such as Intel Pentium
while in others- Intel Core Duo,
the option of a shared versus non
and a shared cache can be a possible source of vulnerability to Denial of Service (DoS) attacks
because the memory system is disproportionately
Despite the many advantages that multi
are a few major challenges the technology is facing
multi-core processors when compared to
that increasing the cores of a processing system don’t automatically get ap
systems to run faster [1]. Also, on
performance of multi-core chips [14]. With increasing number of cores comes along the huge
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
core and multi-core processors is better understood by observing how
. Single-core processors running multiple programs would assign
se programs; if one of the processes is taking longer time to complete
then all the rest of the processes start lagging behind [9]. However, in the case of multi
processors if you have multiple tasks that can be run in parallel at the same time, each of them
will be executed by a separate core in parallel thus boosting the performance [8].
It is a general belief that multi-core processor, similar to many-core processor, has several
core processor. First, multi-core processors have the advantage of
e delay among cores instead of going off-chip [11]. Second, the issue of
width and instruction window size incur linear increment on the chip area of multi
processors whereas a quadratic increment is incurred in single-core processor design [10]. Third,
core processors are configured in such a way that idle
powered down or powered up as and when needed by the application contributing to overall
power dissipation savings [9]. The multiple cores inside the chip are not clocked at a higher
-level parallelism potential is what responsible for its eventual
overall performance making them more energy efficient and low power cores [8]
power consumption has been one of the critical concerns in single-core processors [11].
Figure 2:
Multi-core Architecture
the architecture of a processing system with one core (single
respectively. A core in this context includes the following:
processing pipelines (integer and floating-point), instruction execution units, and the L1
Recently manufactured general-purpose computers appear
core) system in that they have two separate but identical cores [12]. In some
such as Intel Pentium-D and Opteron, each core has its own private L2 cache,
Intel Core Duo, the L2 cache is shared between different cores. In multi
non-shared L2 cache have impacts on the performance of the system
and a shared cache can be a possible source of vulnerability to Denial of Service (DoS) attacks
disproportionately shared among multiple cores [12].
the many advantages that multi-core processors have over single-core processors
are a few major challenges the technology is facing [9]. Some software programs run slower on
cessors when compared to single-core processors. It has been correctly
increasing the cores of a processing system don’t automatically get applications on multi
, on-chip interconnects are becoming a critical logjam
core chips [14]. With increasing number of cores comes along the huge
Information Technology (IJCSIT) Vol 7, No 6, December 2015
118
core processors is better understood by observing how
core processors running multiple programs would assign
er time to complete
then all the rest of the processes start lagging behind [9]. However, in the case of multi-core
processors if you have multiple tasks that can be run in parallel at the same time, each of them
core processor, has several
have the advantage of shorter
. Second, the issue of
width and instruction window size incur linear increment on the chip area of multi-core
core processor design [10]. Third,
re configured in such a way that idle cores can be
powered down or powered up as and when needed by the application contributing to overall
power dissipation savings [9]. The multiple cores inside the chip are not clocked at a higher
is what responsible for its eventual
[9]; whereas
core processors [11].
the architecture of a processing system with one core (single-core) and
following: instruction
point), instruction execution units, and the L1
appear like the
n that they have two separate but identical cores [12]. In some
ivate L2 cache,
the L2 cache is shared between different cores. In multi-core(s),
the performance of the system
and a shared cache can be a possible source of vulnerability to Denial of Service (DoS) attacks
core processors, there
. Some software programs run slower on
core processors. It has been correctly identified
plications on multi-core
logjam in meeting
core chips [14]. With increasing number of cores comes along the huge
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
119
interconnect delays (wire delays) when data has to be moved across the multi-core chip from
memories in particular [15]. The performance-effectiveness of a processor is a function of how
fast a CPU can fetch data rather than how fast it can operate on it to avoid data starvation scenario
[16].
The capability of multi-core processors to run applications more efficiently than single-core
processors has given computer users the ability to keep working, at the same time running the
most processor intensive tasks in the background [25]. A process with multiple threads has as
many flows of controls as there are threads [26]. Each of these threads execute its own sequence
of instructions independently and concurrently [26]. A process with multiple threads is called
multithreaded [17] [26]. Thus the multi-cores improve multithreaded throughput, and delivers the
advantages of parallel computing to properly thread mainstream applications [18]. Applications
such as those use for wireless networking, computer vision, image processing, and multimedia
possess great amount of functional and data parallelism, which can be exploited through a multi-
core (System-on-Chip) [19] [25]. But for systems where single threaded applications dominate,
multi-core systems offer very limited benefits [20]. It is on this note comparative analysis of
single-core and multi-core system is being studied.
2. MATERIALS AND METHODS
Many researches have approached the comparative analysis of single-core and multi-core systems
using diverse techniques, mostly as it concerns the area being studied. This involves the use of
compiler or software tools like SPEC2006 to run test on certain components of the system-
processor and RAM to see how it responds under specific or general condition per time. FLOP is
commonly examined [21]. However, since FLOPs measure only raw execution rate, it can not be
regarded as the best measurement of true speed. The true processor speed of a system is
dependant on many other factors which include- pipeline length, register size, response time,
cache size, cache latency, cache associativity, number of pipelines, instruction sets, number of
registers, etc [28].
In this work, the comparative analysis of single-core and multi-core systems was approached by
exploring firmware testing. This is done by using hi-tech software(s) to examine systems’ CPU
and RAM for performance and stability. The software(s) include: Intelburn Test - Stress testing
software; Novabenchmark - Benchmarking software; and Performance Test - Benchmarking
software. The stress testing software aims to test stability by trying to force a system to fail; and
benchmarking aims to measure and assess the maximum performance possible at a given task or
function [27]. Thereafter, a 33.3Gigabyte file-folder of 327 folders and 3480 files comprising
videos, application software(s) and documents of different formats were copied from an external
SATA-Hard Disk Drive, HDD to systems’ internal HDD; features such as Number of processes,
CPU usage, and Physical memory were observed and data collected for both the single-core and
multi-core systems. The time taken to complete the transfer was however put under critical
examination. Driverpack solutions and CPU-Z software tools were used to check for systems’
CPU frequency, CPU temperature and core voltage. Apart from the information provided by these
tools, it also envisages how multitasking affects the transfer process and its overall impart on the
systems.
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
120
Table 1. Configuration of the Systems Under Test (SUT)
HP COMPAQ
DESKTOP PC,
INTEL PENTIUM
SINGLE-CORE
SYSTEM
HP COMPAQ
DESKTOP PC,
INTEL PENTIUM
SINGLE-CORE
SYSTEM
HP DESKTOP PC,
INTEL PENTIUM
DUAL-CORE
SYSTEM
CPU
Vendor GenuineIntel GenuineIntel GenuineIntel
Name Intel Pentium 4 Intel Pentium 4 Intel Pentium G640T
Core Frequency
(GHz)
2.4 GHz 2.8 GHz 2.4 GHz
Number of CPU 1 1 1
Number of cores 1 1 2
Number of threads 1 2 2
Processor type Intel Pentium 4
Single-core processor
Intel Pentium 4
Single-core processor
Intel Pentium G640T
Dual-core processor
PLATFORM
BIOS Name &
Version
BIOS F.3.4 BIOS F.3.4 BIOS AMI 7.12
BIOS Setting Default Default Default
MEMORY
MODULE(S)
Vendor Kingston Kingston Samsung
Type DDR DDR DDR3
Size 2GB 2GB 2GB
Number of RAM
modules
2 x 1024MB 2 x 1024MB 2048MB
Channels Dual Dual Single
HARD DISK
Vendor & model
numbers
Maxtor and 6Y080L0 Maxtor and 6Y080L0 Seagate
ST500DM002-
1BD142
Type IDE IDE SATA
Size 80GB 80GB 500GB
Number of Disk in
System
1 1 1
OPERATING
SYSTEM
Name Microsoft Windows 7
(32-bit)
Microsoft Windows 7
(32-bit)
Microsoft Windows 7
(64-bit)
Language English English English
3. RESULTS AND DISCUSSION
3.1 Novabench
The table below shows an average of three-test score of CPU and RAM component for each
system configuration: Intel Pentium IV 2.40GHz, Intel Pentium IV 2.80GHz, and Intel Pentium
G640T 2.40GHz.
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
121
Table 2. Novabench Test Score of 2.4 GHz & 2.8 GHz Single-Core and 2.4 GHz Dual-Core Configurations
Intel Pentium 4
2.40GHz
Intel Pentium 4
2.80GHz
Intel Pentium
G640T 2.40GHz
2040 MB System RAM Score: 95 Score: 95 Score: 112
RAM Speed (MB/s) 1446 1504 5215
CPU Tests Score: 55 Score: 100 Score: 229
Floating Point
Operations/Second
13453050 27492557 50843295
Integer Operations/Second 17622214 58554955 142977614
MD5 Hashes
Generated/Second
3345501 297062 794837
Figure 3. RAM Speed (MB/s) of 2.4 GHz & 2.8 GHz Single-Core, and 2.4 GHz Dual-Core
1446 1504
5215
0
1000
2000
3000
4000
5000
6000
Intel P4 2.4GHz
Single core
Intel P4 2.8GHz
Single core
Intel G640T
2.4GHz Dual core
RAMSpeed
RAM Speed (MB/s)
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
122
Figure 4. CPU Operations per Second
3.2 Intelburn Test- Linpack
Linpack performs an operation from linear algebra called LU Factorization [22]. The three
systems under experimental observation are found to be stable.
Table 3. Linpack Result of Intel P4 2.4 GHz Single-Core
Linpack Output Result for Intel Pentium 4 2.40GHz @ 2.394 MHz Single-core
Time (s) Speed (GFlops) Result
1 234.925 3.8050 2.908973e-002
2 233.757 3.8240 2.908973e-002
3 231.678 3.8583 2.908973e-002
4 232.552 3.8438 2.908973e-002
5 232.742 3.8407 2.908973e-002
6 231.629 3.8591 2.908973e-002
7 231.814 3.8560 2.908973e-002
8 231.602 3.8596 2.908973e-002
9 229.441 3.8959 2.908973e-002
10 229.924 3.8877 2.908973e-002
Test completed successfully in 2607.47seconds.
Average GFlops: 3.85301
13453050
27492557
50843295
17622214
58554955
142977614
334501 297062 794837
0
20000000
40000000
60000000
80000000
10000000
12000000
14000000
16000000
Intel P4 2.4GHz
Single core
Intel P4 2.8GHz
Single core
Intel G640T
2.4GHz Dual core
Mark
Floating Point
Operations/second
Integer Operations/second
MD5 Hashes Generated/second
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
123
Table 4. Linpack Result of Intel P4 2.8 GHz Single-Core
Linpack Output Result for Intel Pentium 4 2.80GHz @ 2.793 MHz Single-core
Time (s) Speed (GFlops) Result
1 281.094 3.1800 3.265950e-002
2 280.838 3.1829 3.265950e-002
3 284.360 3.1435 3.265950e-002
4 280.986 3.1812 3.265950e-002
5 280.581 3.1858 3.265950e-002
6 280.412 3.1878 3.265950e-002
7 281.230 3.1785 3.265950e-002
8 280.596 3.1857 3.265950e-002
9 280.848 3.1828 3.265950e-002
10 280.750 3.1839 3.265950e-002
Test completed successfully in 3169.20seconds
Average GFlops: 3.17921
Table 5. Linpack Result of Intel Pentium G640T 2.4 GHz Dual-Core
Linpack Output Result for Intel Pentium G640T 2.4GHz @ 2.394 MHz Dual-
core
Time (s) Speed (GFlops) Result
1 53.876 16.5915 3.436777e-002
2 53.087 16.8381 3.436777e-002
3 53.018 16.8600 3.436777e-002
4 53.005 16.8641 3.436777e-002
5 52.935 16.8863 3.436777e-002
6 52.941 16.8844 3.436777e-002
7 52.951 16.8812 3.436777e-002
8 52.929 16.8883 3.436777e-002
9 52.938 16.8855 3.436777e-002
10 52.947 16.8827 3.436777e-002
Test completed successfully in 611.98seconds.
Average GFlops: 16.84621
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
124
Figure 5. Intel(R) Linpack Output Result for Single-Core and Dual-Core
3.3 Performance Test
Table 6. Performance for Pentium IV 2.4 GHz & 2.8 GHz Single-Core, and 2.4 GHz Dual-Core
Intel Pentium 4
2.40GHz @ 2.4 GHz
Intel Pentium 4
2.80GHz @ 2.8 GHz
Intel Pentium
G640T 2.40GHz @
2.4 GHz
CPU Mark 317.2 452.0 2531.4
CPU - Integer Math 48.1 80.6 843.4
CPU - Floating Point
Math
237.8 250.1 1056.4
CPU - Find Prime
Numbers
119.9 107.3 639.6
CPU - Multimedia
Instructions
0.3 0.35 8.4
CPU - Compression 677.0 1108.9 2803.7
CPU – Encryption 1.80 4.72 7.2
CPU – Physics 23.0 31.5 143.7
CPU - String Sorting 401.7 541.5 1679.4
MEMORY Mark 383.7 409.6 792.3
Allocate Small Block 906.4 1238.6 4468.9
Read Cached 1562.9 1581.4 1920.0
Read Uncached 1267.9 1383.1 1778.5
Write 793.7 941.0 1730.7
Large RAM 365.8 324.6 805.8
2.60000E-02
2.70000E-02
2.80000E-02
2.90000E-02
3.00000E-02
3.10000E-02
3.20000E-02
3.30000E-02
3.40000E-02
3.50000E-02
1 2 3 4 5 6 7 8 9 10
LinpackResult
Times to Run
P4 2.4GHz Single core
P4 2.8GHz Single core
G640T 2.4GHz Dual core
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
125
Figure 6. CPU Performance Mark for Single-Core and Dual-Core Systems
Figure 7. Memory Performance Mark for Single-Core and Dual-Core Systems
906.4 1562.9 1267.9
793.7
365.8
1238.6 1581.4 1383.1
941.0
324.6
4468.9
1920.0 1778.5 1730.7
805.8
0
500
1000
1500
2000
2500
3000
3500
4000
4500
5000
Allocate
Small
Block
Read
Cached
Read
Uncached
Write Large
RAM
Mark
Memory Components
Intel P4 2.4GHz Single core
Intel P4 2.8GHz Single core
Intel Pent. G640T 2.4GHZ Dual
core
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
126
Table 7. Transfer of 33.3GB File (Copy) from External SATA-HDD to the System
Intel Pentium 4
2.4GHz Single-core
Intel Pentium 4
2.8GHz Single-core
Intel Pentium
G640T 2.4GHz
Dual-core
Number of Processes 38 38 38
CPU Usage 57% 40% 16%
Physical Memory 29% - 30%
611MB – 624MB
32% - 33%
620MB – 650MB
40% - 41%
795MB – 816MB
Maximum Frequency 100% 100% 60% - 69%
Time Taken to Copy
files
1489 seconds 1446 seconds 1284 seconds
CPU Temperature
Before Experiment
38o
C 38o
C 35o
C
CPU Temperature
After Experiment
41o
C 41o
C 39o
C
Core Voltage 1.470volts 1.340volts 0.776volts
Maximum TDP 89W 89W 35W
Total Execution time of Intel Pentium IV 2.4 GHz Single-core system is 1489 seconds.
Total Execution time of Intel Pentium IV 2.8 GHz Single-core system is 1446 seconds.
Total Execution time of Intel Pentium G640T 2.4 GHz Dual-core system is 1284 seconds.
It is the usual norm to evaluate and relate the performance of two different computers, say, X and
Y. When computer X is faster than computer Y, this means that the time taken to execute or
complete a particular task and/or process on computer X is lower than on computer Y. Then,
computer X is n times faster than computer Y [21].
Therefore,
	 	 	
	 	 	
= 								 … … … … … … … … … … … … … … … … … … … … … … … … . … … (1)
=
	 	 	
	 	 	
=
	 	
	 	
=
! " " # 	 	
! " " # 	 	
… … … … … … … … . … (2)
The most significant approach for measuring performance is by considering the execution time of
real programs/process. Performance and execution time are reciprocals, increasing performance
decreases execution time [21].
Given,
=
	 	 	% &' 	 " 	()( 	( )
	 	 	* #'	 " 	()( 	( ))
… … … … … … … … … . … … … … … … … … (3)
To calculate the percentage of the system execution time,
	 	 	% &' 	 " 	()( 	( )
	 	 	* #'	 " 	()( 	( ))
= 1 +
100
… … … … … … … … … … … … . … . . (4)
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
127
Therefore,
	 	 	% &' 	 " 	()( 	( )
	 	 	* #'	 " 	()( 	( ))
− 1 =
100
… … … … … … … … … … … … . … … (5)
=
(! 	4	% &' 	 " 	()( ) − (! 	16403	* #'	 " 	()( )
)(! 	16403	* #'	 " 	()( )
×
100
1
… … … … … … … … … … … … … … … … … … … … … … … … … … … … … … . (6)
Now to calculate for the comparison of Intel Pentium IV 2.4GHz Single-core and Intel Pentium
G640T 2.4GHz Dual-core:
=
1489 − 1284
1284
×
100
1
… … … … … … … … … … … … … … … … … … … … … … … … … … … … . . (7)
=
205 × 100
1284
=
20500
1284
… … … … … … … … … … … … … … … … … … … … … … … . … … … … … . (8)
= 15.966% … … … … … … … … … … … … … … … … … … … … … … … … … … … … … … … . . … . . . (9)
Then,
%9 :;9 =
1489
1284
= 1.1597 … … … … … … … … … … … … … … … … … … … … … … . … … … … . (10)
If we repeat steps (3) – (6) for the comparison of Intel Pentium IV 2.8GHz Single-core and Intel
Pentium G640T 2.4GHz Dual-core systems:
=
1446 − 1284
1284
×
100
1
… … … … … … … … … … … … … … … … … … … … … … … … … … … . … (11)
=
162 × 100
1284
=
16200
1284
… … … … … … … … … … … … … … … … … … … … … … … … … . … … … (12)
= 12.6168% … … … … … … … … … … … … … … … … … … … … … … … … … … … … . . … … … … (13)
Then,
%9 :;9 =
1446
1284
= 1.1262 … … … … … … … … … … … … … … … … … … … … … … … … … … . . (14)
Both single-core and multi-core systems responded to the test in sequential and exponential
manner, thereby showing significant difference in the architectures as regard certain tasks.
Components and devices like HDD, DVD-CD writer and graphic adapter were not considered
because of reduced-functionality and technology gap between the periods these systems were
introduced into the market. However, major emphasis was put into the CPU-components and
RAM as they are the most prominent features of computer architecture.
The Novabench test score when examined showed astronomical difference in the performance of
RAM speed and CPU components of 2.4GHz & 2.8GHz single-core, and 2.4GHz dual-core
respectively. The techno-architecture of single-core processor is one major factor responsible for
reduced functionality being experienced while carrying out this research. The chart representation
can be found in figure 3 & 4.
The dual-core system further exhibit significant superiority over single-core when put under
performance benchmark 7.0, the CPU and memory marks shows that the components of the dual-
core system is well utilized and of high performance rating. The mark is available in figure 6 and
7 respectively.
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
128
The above results confirm that Intel Pentium G640T 2.4GHz Dual-core system is over 15.97%
faster than Intel Pentium IV 2.4GHz Single-core system and 12.62% faster than Intel Pentium IV
2.8GHz Single-core system during the transfer of 33.3GB files from external HDD to these
systems, with the speedup of 1.16 and 1.13 respectively. This is however synonymous to one of
the various methods of comparing Single-core and Multi-core (Dual-core) systems [22], an
explanation well-understood by an ordinary user; and in all cases of such and others the
performance of multi-core will always surpass single-core except for single-threaded applications.
Also, the table above shows the CPU Usage of 57% and 40% for Pentium IV 2.4GHz and
2.8GHz Single-core at Maximum Frequency 100%, this explains great differences between
Single-core and Multi-core because at Maximum Frequency the systems were heat up and any
attempt to perform additional operation (multitask) will drag the systems and if further intensify
will breakdown the systems; in fact rendering could not be attempted because of reduced-
functionality in Single-core. The Physical Memory result shows that there is a limit to what
memory could do when the frequency is 100 percent utilized as we saw in the experiment. But
this is not the case of Pentium G640T Dual-core as the CPU Usage was just 16%, Maximum
Frequency 60% - 69% and Physical Memory 795MB – 816MB.
With all the astronomical performance display by dual-core in all test, one would have expected a
faster rating and clear-cut speed when copying from an external HDD to the system, but this was
not so as the time difference between the dual-core and single-core was not large; however, this is
the peak of Intel Pentium IV 2.4GHz and 2.8GHz performance because the frequency was totally
exhausted, temperature rising fast, and core voltage increasing thereby demanding more power.
Therefore, the study envisages that communication overhead and memory latencies are still
a limiting factor in multi-core performance. Finding good cache configurations would be
helpful, thereby taking pressure off the main memory and reducing communication and
cache coherence latencies, and increasing overall performance [23].
Linpack experiment clearly showed that all the systems being considered were stable and had
operational capacity; the time taken to complete the looping for each system and the average
GFLOPs obtained put Intel Pentium G640T 2.4GHz dual-core ahead of Intel Pentium IV 2.4GHz
& 2.8GHz single-core, which comply with international benchmark. In all the test dual-core
components had better rating, the linpack stress test was completed in 611.98 seconds with
average GFLOPs 16.85 as against Intel Pentium IV 2.4GHz and 2.8GHz single-core systems
which was completed in 2607.47 and 3169.20 seconds, and GFLOPs 3.85 and 3.18 respectively.
Why the GFLOPs of Pentium IV 2.4GHz surpassed its 2.8GHz counterpart could not be
ascertained as at the time of compiling this research. Intel Pentium G640T 2.4GHz dual-cores,
unlike the single-core processors has maximum TDP of 35W, which makes it a low power
consumption processor. It also utilizes the RAM memory more efficiently than the single-core,
which necessitated the hypothesis that CPU architecture determines the limit of RAM utilization
in a system and can not be stretched beyond this limit.
4. CONCLUSION
The study was able to show that G640T dual-core was 15.97% and 12.62% faster than Pentium
IV 2.4GHz and 2.8GHz single-cores during the file transfer process, with the speedup of 1.16 and
1.13 respectively. The CPU usage for Pentium IV 2.4GHz and 2.8GHz were 57% and 40% at
peak frequency while 16% CPU usage was observed in G640T dual-core; Novabench and
Performance Test of CPU and Memory components rates G640T dual-core far above the single-
core(s). After all investigation the research concludes that the success of multi-core so far can not
be derived from its clock speed when placed side-by-side a single-core of same clock speed or
higher but division of labour amongst cores, faster core-to-core communication, dynamic cache
sharing between cores, smaller size of caches, lower core and bus frequencies, with significant
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
129
contribution from the memory as shown in the linpack experiment (average GFLOPs- 16.85), and
the time taken to successfully complete ten loops (i.e. 611.98 second); this is the major reason
they perform well in multi-threaded application and could not replicate the performance in single-
threaded application.
This study points out the fact that despite the effectiveness and efficiency associated with multi-
core system as against single-core system, which emanated as a result of technological
advancement and architectural redesign, it still has certain deficiencies that must be overcome for
optimal overall performance while the single-core which provided the need for more cores is still
good and reliable for specific purposes.
5. RECOMMENDATION
Though single-core has made a mark and multi-core is taking over, increasing the frequency by
all means and reducing the power at all cost in proportion to the core(s) of a processor is not the
real solution to the problems facing multi-core but the need to channel more resources into
coming up with better architectural design that would hasten synchronization between cores and
memory, and relinquish unnecessary on-chip interconnect delay, latency & cache issue, and
indecision that contributes to unwarranted slow pace of performance in multi-core processors.
REFERENCES
[1] Cass, S. (2010). Multicore Processors Create Software Headaches. Technology Review, 113(3), 74-
75.
[2] Parkhurst, J., Darringer, J. & Grundmann, B. (2006). From Single Core to Multi-Core: Preparing for a
new exponential, Computer-Aided Design. ICCAD '06. IEEE/ACM International Conference on,
pp. 67.
[3] Goodacre, J. & Sloss, A.N. (2005). Parallelism and the ARM instruction set architecture, Computer,
vol. 38, no. 7, pp. 42-50.
[4] Michael R. Marty, (2008). Cache Coherence Techniques for Multicore Processors.
[5] V. Agarwal, M. S. Hrishikesh, S. W. Keckler, & D. Burger, (2000). Clock Rate versus IPC: The End
of the Road for Conventional Microarchitectures. In Proceedings of the 27th Annual International
Symposium on Computer Architecture, pages 248–259.
[6] Lizhe Wang, Jie Tao, Gregor von Laszewski, Holger Marten. (2010). Multicores in Cloud
Computing: Research Challenges for Applications. Journal of Computers, Vol 5, No 6.
[7] Carlos Madriles, Pedro López, Josep M. Codina, Enric Gibert, Fernando Latorre, Alejandro Martínez,
Raúl Martínez and Antonio González (2009). Boosting Single-thread Performance in Multi-core
Systems through Fine-Grain Multi-Threading. Intel Barcelona Research Center, Intel Labs.
Universitat Politècnica de Catalunya, Barcelona (Spain).
[8] D. Geer, (2005). Chip Makers Turn to Multicore Processors. Computer, vol. 38, pp. 11-13.
[9] Balaji Venu (2011). Multi-core processors: An overview. Department of Electrical Engineering and
Electronics, University of Liverpool, Liverpool, UK.
[10] H. Lance, N. A. Basem, & O. Kunle (1997). A Single-Chip Multiprocessor. IEEE Computer, Volume:
30 , Issue: 9, pp.79-85.
[11] Pollawat Thanarungroj, & Chen Liu. Power and Energy Consumption Analysis on Intel SCC Many-
Core System
[12] Thomas Moscibroda Onur Mutlu (2007). Memory Performance Attacks: Denial of Memory Service
in Multi-Core Systems. Microsoft Research.
[13] Kalyani D. Kolhe, U. M. Gokhale, Darshan Pendhari (2014). Design of Cache Controller for
Multicore Systems Using Parallelization Method. Proceedings of 11th IRF International Conference,
15th June-2014, Pune, India, ISBN: 978-93-84209-27-8.
[14] Jongman Kim, Dongkook Park, Theocharides, T., Vijaykrishnan, N. & Das, C.R. (2005). A low
latency router supporting adaptivity for on-chip interconnects. Design Automation Conference.
Proceedings. 42nd, pp. 559
International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015
130
[15] Y. Hoskote, S. Vangal, A. Singh, N. Borkar and S. Borkar, (2007). A 5-GHz Mesh Interconnect for a
Teraflops Processor. Micro, IEEE, vol. 27, pp. 51-61.
[16] Moore, S.K. (2008). Multicore is bad news for supercomputers. Spectrum, IEEE, vol. 45, no. 11, pp.
15-15.
[17] A.I. Fasiku, J. B. Olawale, O. T. Jinadu (2012). A Review of Architectures - Intel Single Core, Intel
Dual Core and AMD Dual Core Processors and the Benefits. International Journal of Engineering and
Technology Volume 2 No. 5.
[18] V. Saxena and M. Shrivastava, (2009). UML Modeling and Performance Evaluation of Multithreaded
Programs on Dual Core Processor. Ambedkar University (Central University), Lucknow, India,
published by International Journal of Hybrid Information Technology Vol.2, No.3.
[19] Vipin S. Bhure, Praveen R. Chakole. Design of Cache Controller for Multi-core Processor
System. International Journal of Electronics and Computer Science Engineering. Available Online
at www.ijecse.org ISSN-2277-1956/V1N2-520-526
[20] Hongtao Zhong (2008). Architectural and Compiler Mechanisms for Accelerating Single Thread
Applications on Multicore Processors. A dissertation submitted in partial fulfilment of the
requirements for the degree of Doctor of Philosophy (Computer Science and Engineering) in The
University of Michigan.
[21] Fasiku, A. Ireti, Olawale, J. Babatunde, & Abiola, B. Oluwatoyin, (2013). Comparison of Intel
Single-Core and Intel Dual-Core Processor Performance. International Journal of Scientific Research
in Computer Science and Engineering, www.isroset.org, Volume-1, Issue-1.
[22] Douglas M. Pase and Matthew A. Eckl (2005). A Comparison of Single-Core and Dual-Core Opteron
Processor Performance for HPC. IBM xSeries. Performance Development and Analysis 3039
Cornwallis Rd. Research Triangle Park, NC 27709-2195.
[23] Julian Bui, Chenguang Xu, and Sudhanva Gurumurthi (2007). Understanding Performance Issues
on both Single Core and Multi-core Architecture.
[24] Scott Schneider (2010). Shared Memory Abstractions for Heterogeneous Multicore Processors.
Dissertation submitted to the Faculty of the Virginia Polytechnic Institute and State University in
partial fulfilment of the requirements for the degree of Doctor of Philosophy in Computer Science.
[25] Vipin S. Bhure, and Praveen R. Chakole (2012). Design of Cache Controller for Multi-core Processor
System. International Journal of Electronics and Computer Science Engineering. Vol.1 N2-520-526.
Available Online at www.ijecse.org
[26] Cameron Hughes, and Tracey Hughes. Professional Multicore Programming- Design &
Implementation for C++ Developers. www.wrox.com
[27] https://en.wikipedia.org/wiki/Stress_test_(hardware)
[28] http://forum.sysprofile.de/computerfragen/256129-top-oder-flop-pc.html
AUTHORS
Ogundairo Johnson graduated from Yaba College of Technology, Yaba, Lagos with
Ordinary National Diploma, OND and Higher National Diploma, HND in Electrical and
Electronics Engineering. He has also attended National Open University of Nigeria
(NOUN), Enugu Campus, Enugu from 2011 to 2013 for both the Postgraduate Diploma
and Master of Science degree in Information Technology.
Omosehinmi Dinyo attended Obafemi Awolowo University, Ile-Ife, Nigeria where he
was awarded Bachelor of Science degree in Physics. He earned a Master’s degree in
Physics from the Federal University of Technology, Akure, Nigeria between 2012 and
2015. Dinyo has also obtained certificates in Computer Hardware Engineering, and Radio
Network Planning & Optimization, RNPO.

Weitere ähnliche Inhalte

Was ist angesagt?

Advanced trends in microcontrollers by suhel
Advanced trends in microcontrollers by suhelAdvanced trends in microcontrollers by suhel
Advanced trends in microcontrollers by suhelSuhel Mulla
 
Study of various factors affecting performance of multi core processors
Study of various factors affecting performance of multi core processorsStudy of various factors affecting performance of multi core processors
Study of various factors affecting performance of multi core processorsateeq ateeq
 
Introduction to multi core
Introduction to multi coreIntroduction to multi core
Introduction to multi coremukul bhardwaj
 
Multi core-architecture
Multi core-architectureMulti core-architecture
Multi core-architecturePiyush Mittal
 
Multi core processors
Multi core processorsMulti core processors
Multi core processorsNipun Sharma
 
29092013042656 multicore-processor-technology
29092013042656 multicore-processor-technology29092013042656 multicore-processor-technology
29092013042656 multicore-processor-technologySindhu Nathan
 
Multicore processor by Ankit Raj and Akash Prajapati
Multicore processor by Ankit Raj and Akash PrajapatiMulticore processor by Ankit Raj and Akash Prajapati
Multicore processor by Ankit Raj and Akash PrajapatiAnkit Raj
 
Multi-core processor and Multi-channel memory architecture
Multi-core processor and Multi-channel memory architectureMulti-core processor and Multi-channel memory architecture
Multi-core processor and Multi-channel memory architectureUmair Amjad
 
Difference between Intel i3 i5 i7
Difference between Intel i3 i5 i7Difference between Intel i3 i5 i7
Difference between Intel i3 i5 i7Mohammad Danish
 
Single and Multi core processor
Single and Multi core processorSingle and Multi core processor
Single and Multi core processorMunaam Munawar
 
Multi_Core_Processor_2015_(Download it!)
Multi_Core_Processor_2015_(Download it!)Multi_Core_Processor_2015_(Download it!)
Multi_Core_Processor_2015_(Download it!)Sudip Roy
 
Multicore Processsors
Multicore ProcesssorsMulticore Processsors
Multicore ProcesssorsAveen Meena
 
AMD processors
AMD processorsAMD processors
AMD processorssanthu652
 
Intel core presentation mnk
Intel core presentation mnkIntel core presentation mnk
Intel core presentation mnkkondalarao7
 

Was ist angesagt? (20)

Dual-core processor
Dual-core processorDual-core processor
Dual-core processor
 
Introduction to multicore .ppt
Introduction to multicore .pptIntroduction to multicore .ppt
Introduction to multicore .ppt
 
Advanced trends in microcontrollers by suhel
Advanced trends in microcontrollers by suhelAdvanced trends in microcontrollers by suhel
Advanced trends in microcontrollers by suhel
 
Study of various factors affecting performance of multi core processors
Study of various factors affecting performance of multi core processorsStudy of various factors affecting performance of multi core processors
Study of various factors affecting performance of multi core processors
 
Multi core processors
Multi core processorsMulti core processors
Multi core processors
 
Multi core processor
Multi core processorMulti core processor
Multi core processor
 
Multicore computers
Multicore computersMulticore computers
Multicore computers
 
Introduction to multi core
Introduction to multi coreIntroduction to multi core
Introduction to multi core
 
Multi core-architecture
Multi core-architectureMulti core-architecture
Multi core-architecture
 
Multi core processors
Multi core processorsMulti core processors
Multi core processors
 
29092013042656 multicore-processor-technology
29092013042656 multicore-processor-technology29092013042656 multicore-processor-technology
29092013042656 multicore-processor-technology
 
Multicore processor by Ankit Raj and Akash Prajapati
Multicore processor by Ankit Raj and Akash PrajapatiMulticore processor by Ankit Raj and Akash Prajapati
Multicore processor by Ankit Raj and Akash Prajapati
 
Multi-core processor and Multi-channel memory architecture
Multi-core processor and Multi-channel memory architectureMulti-core processor and Multi-channel memory architecture
Multi-core processor and Multi-channel memory architecture
 
Difference between Intel i3 i5 i7
Difference between Intel i3 i5 i7Difference between Intel i3 i5 i7
Difference between Intel i3 i5 i7
 
Single and Multi core processor
Single and Multi core processorSingle and Multi core processor
Single and Multi core processor
 
Multi_Core_Processor_2015_(Download it!)
Multi_Core_Processor_2015_(Download it!)Multi_Core_Processor_2015_(Download it!)
Multi_Core_Processor_2015_(Download it!)
 
Multicore Processsors
Multicore ProcesssorsMulticore Processsors
Multicore Processsors
 
Amd processor
Amd processorAmd processor
Amd processor
 
AMD processors
AMD processorsAMD processors
AMD processors
 
Intel core presentation mnk
Intel core presentation mnkIntel core presentation mnk
Intel core presentation mnk
 

Andere mochten auch

Core 2 Duo Processor
Core 2 Duo ProcessorCore 2 Duo Processor
Core 2 Duo ProcessorKashif Latif
 
Multi core processors
Multi core processorsMulti core processors
Multi core processorsAdithya Bhat
 
Intel Processors
Intel ProcessorsIntel Processors
Intel Processorshome
 
Difference between i3 and i5 and i7 and core 2 duo pdf
Difference between i3 and i5 and i7 and core 2 duo pdfDifference between i3 and i5 and i7 and core 2 duo pdf
Difference between i3 and i5 and i7 and core 2 duo pdfnavendu shekhar
 
Processor powerpoint
Processor powerpointProcessor powerpoint
Processor powerpointbrennan_jame
 
Intel I3,I5,I7 Processor
Intel I3,I5,I7 ProcessorIntel I3,I5,I7 Processor
Intel I3,I5,I7 Processorsagar solanky
 
Operating system.ppt (1)
Operating system.ppt (1)Operating system.ppt (1)
Operating system.ppt (1)Vaibhav Bajaj
 
Inflation background in pakistan
Inflation background in pakistanInflation background in pakistan
Inflation background in pakistanfaiqa malik
 
Core 2 processors
Core 2 processorsCore 2 processors
Core 2 processorsArun Kumar
 
Microprocessors and controllers
Microprocessors and controllersMicroprocessors and controllers
Microprocessors and controllersWendy Hemo
 
Inflation Impact on Economy of Pakistan
Inflation Impact on Economy of Pakistan	Inflation Impact on Economy of Pakistan
Inflation Impact on Economy of Pakistan abc20000
 
Difference between various operating systems on the basis of single user ,mul...
Difference between various operating systems on the basis of single user ,mul...Difference between various operating systems on the basis of single user ,mul...
Difference between various operating systems on the basis of single user ,mul...Anu Garg
 

Andere mochten auch (20)

Core 2 Duo Processor
Core 2 Duo ProcessorCore 2 Duo Processor
Core 2 Duo Processor
 
Multi core processors
Multi core processorsMulti core processors
Multi core processors
 
Intel Processors
Intel ProcessorsIntel Processors
Intel Processors
 
Difference between i3 and i5 and i7 and core 2 duo pdf
Difference between i3 and i5 and i7 and core 2 duo pdfDifference between i3 and i5 and i7 and core 2 duo pdf
Difference between i3 and i5 and i7 and core 2 duo pdf
 
I3 Vs I5 Vs I7
I3 Vs I5 Vs I7I3 Vs I5 Vs I7
I3 Vs I5 Vs I7
 
Processor powerpoint
Processor powerpointProcessor powerpoint
Processor powerpoint
 
Intel I3,I5,I7 Processor
Intel I3,I5,I7 ProcessorIntel I3,I5,I7 Processor
Intel I3,I5,I7 Processor
 
Operating system.ppt (1)
Operating system.ppt (1)Operating system.ppt (1)
Operating system.ppt (1)
 
Processors
ProcessorsProcessors
Processors
 
Presentación1
Presentación1Presentación1
Presentación1
 
Inflation background in pakistan
Inflation background in pakistanInflation background in pakistan
Inflation background in pakistan
 
Core 2 duo
Core 2 duoCore 2 duo
Core 2 duo
 
Core 2 processors
Core 2 processorsCore 2 processors
Core 2 processors
 
Core 2 duo
Core 2 duoCore 2 duo
Core 2 duo
 
Inflation
InflationInflation
Inflation
 
Microprocessors and controllers
Microprocessors and controllersMicroprocessors and controllers
Microprocessors and controllers
 
Ethernet
EthernetEthernet
Ethernet
 
Inflation Impact on Economy of Pakistan
Inflation Impact on Economy of Pakistan	Inflation Impact on Economy of Pakistan
Inflation Impact on Economy of Pakistan
 
Inflation ppt
Inflation pptInflation ppt
Inflation ppt
 
Difference between various operating systems on the basis of single user ,mul...
Difference between various operating systems on the basis of single user ,mul...Difference between various operating systems on the basis of single user ,mul...
Difference between various operating systems on the basis of single user ,mul...
 

Ähnlich wie COMPARATIVE ANALYSIS OF SINGLE-CORE AND MULTI-CORE SYSTEMS

TIME CRITICAL MULTITASKING FOR MULTICORE MICROCONTROLLER USING XMOS® KIT
TIME CRITICAL MULTITASKING FOR MULTICORE MICROCONTROLLER USING XMOS® KITTIME CRITICAL MULTITASKING FOR MULTICORE MICROCONTROLLER USING XMOS® KIT
TIME CRITICAL MULTITASKING FOR MULTICORE MICROCONTROLLER USING XMOS® KITijesajournal
 
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONSMULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONSAIRCC Publishing Corporation
 
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONSMULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONSijcsit
 
Time critical multitasking for multicore
Time critical multitasking for multicoreTime critical multitasking for multicore
Time critical multitasking for multicoreijesajournal
 
Multicore processor technology advantages and challenges
Multicore processor technology  advantages and challengesMulticore processor technology  advantages and challenges
Multicore processor technology advantages and challengeseSAT Journals
 
HISTORY AND FUTURE TRENDS OF MULTICORE COMPUTER ARCHITECTURE
HISTORY AND FUTURE TRENDS OF MULTICORE COMPUTER ARCHITECTUREHISTORY AND FUTURE TRENDS OF MULTICORE COMPUTER ARCHITECTURE
HISTORY AND FUTURE TRENDS OF MULTICORE COMPUTER ARCHITECTUREijcga
 
Multicore Computers
Multicore ComputersMulticore Computers
Multicore ComputersA B Shinde
 
Shared memory Parallelism (NOTES)
Shared memory Parallelism (NOTES)Shared memory Parallelism (NOTES)
Shared memory Parallelism (NOTES)Subhajit Sahu
 
Network Processing on an SPE Core in Cell Broadband EngineTM
Network Processing on an SPE Core in Cell Broadband EngineTMNetwork Processing on an SPE Core in Cell Broadband EngineTM
Network Processing on an SPE Core in Cell Broadband EngineTMSlide_N
 
Trends in computer architecture
Trends in computer architectureTrends in computer architecture
Trends in computer architecturemuhammedsalihabbas
 
Intel new processors
Intel new processorsIntel new processors
Intel new processorszaid_b
 
I understand that physics and hardware emmaded on the use of finete .pdf
I understand that physics and hardware emmaded on the use of finete .pdfI understand that physics and hardware emmaded on the use of finete .pdf
I understand that physics and hardware emmaded on the use of finete .pdfanil0878
 
4838281 operating-system-scheduling-on-multicore-architectures
4838281 operating-system-scheduling-on-multicore-architectures4838281 operating-system-scheduling-on-multicore-architectures
4838281 operating-system-scheduling-on-multicore-architecturesIslam Samir
 
Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
 Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridadMarketing Donalba
 
EOUG95 - Client Server Very Large Databases - Paper
EOUG95 - Client Server Very Large Databases - PaperEOUG95 - Client Server Very Large Databases - Paper
EOUG95 - Client Server Very Large Databases - PaperDavid Walker
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...AIRCC Publishing Corporation
 

Ähnlich wie COMPARATIVE ANALYSIS OF SINGLE-CORE AND MULTI-CORE SYSTEMS (20)

TIME CRITICAL MULTITASKING FOR MULTICORE MICROCONTROLLER USING XMOS® KIT
TIME CRITICAL MULTITASKING FOR MULTICORE MICROCONTROLLER USING XMOS® KITTIME CRITICAL MULTITASKING FOR MULTICORE MICROCONTROLLER USING XMOS® KIT
TIME CRITICAL MULTITASKING FOR MULTICORE MICROCONTROLLER USING XMOS® KIT
 
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONSMULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
 
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONSMULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
MULTI-CORE PROCESSORS: CONCEPTS AND IMPLEMENTATIONS
 
Time critical multitasking for multicore
Time critical multitasking for multicoreTime critical multitasking for multicore
Time critical multitasking for multicore
 
Multi-Core on Chip Architecture *doc - IK
Multi-Core on Chip Architecture *doc - IKMulti-Core on Chip Architecture *doc - IK
Multi-Core on Chip Architecture *doc - IK
 
Multicore processor technology advantages and challenges
Multicore processor technology  advantages and challengesMulticore processor technology  advantages and challenges
Multicore processor technology advantages and challenges
 
HISTORY AND FUTURE TRENDS OF MULTICORE COMPUTER ARCHITECTURE
HISTORY AND FUTURE TRENDS OF MULTICORE COMPUTER ARCHITECTUREHISTORY AND FUTURE TRENDS OF MULTICORE COMPUTER ARCHITECTURE
HISTORY AND FUTURE TRENDS OF MULTICORE COMPUTER ARCHITECTURE
 
Multicore Computers
Multicore ComputersMulticore Computers
Multicore Computers
 
Shared memory Parallelism (NOTES)
Shared memory Parallelism (NOTES)Shared memory Parallelism (NOTES)
Shared memory Parallelism (NOTES)
 
Network Processing on an SPE Core in Cell Broadband EngineTM
Network Processing on an SPE Core in Cell Broadband EngineTMNetwork Processing on an SPE Core in Cell Broadband EngineTM
Network Processing on an SPE Core in Cell Broadband EngineTM
 
Trends in computer architecture
Trends in computer architectureTrends in computer architecture
Trends in computer architecture
 
Intel new processors
Intel new processorsIntel new processors
Intel new processors
 
I understand that physics and hardware emmaded on the use of finete .pdf
I understand that physics and hardware emmaded on the use of finete .pdfI understand that physics and hardware emmaded on the use of finete .pdf
I understand that physics and hardware emmaded on the use of finete .pdf
 
4838281 operating-system-scheduling-on-multicore-architectures
4838281 operating-system-scheduling-on-multicore-architectures4838281 operating-system-scheduling-on-multicore-architectures
4838281 operating-system-scheduling-on-multicore-architectures
 
IEEExeonmem
IEEExeonmemIEEExeonmem
IEEExeonmem
 
Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
 Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
 
EOUG95 - Client Server Very Large Databases - Paper
EOUG95 - Client Server Very Large Databases - PaperEOUG95 - Client Server Very Large Databases - Paper
EOUG95 - Client Server Very Large Databases - Paper
 
Google warehouse scale computer
Google warehouse scale computerGoogle warehouse scale computer
Google warehouse scale computer
 
035
035035
035
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
 

Kürzlich hochgeladen

Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college projectTonystark477637
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 

Kürzlich hochgeladen (20)

Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 

COMPARATIVE ANALYSIS OF SINGLE-CORE AND MULTI-CORE SYSTEMS

  • 1. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 DOI:10.5121/ijcsit.2015.7610 117 COMPARATIVE ANALYSIS OF SINGLE-CORE AND MULTI-CORE SYSTEMS Ogundairo Johnson1 and Omosehinmi Dinyo2 1 Department of Information Technology, National Open University of Nigeria (NOUN), Enugu Campus, Enugu, Nigeria 2 Department of Physics, Federal University of Technology, Akure, Nigeria ABSTRACT Overall performance of computer systems are better investigated and evaluated when its various components are considered, components such as the hardware, software and firmware. The comparative analysis of single-core and multi-core systems was carried out using Intel Pentium G640T 2.4GHz dual- core, Intel Pentium IV 2.4GHz single-core and Intel Pentium IV 2.8GHz single-core systems. The approach method was using hi-tech benchmarking and stress testing software(s) to examine systems’ CPU and RAM for performance and stability. In all the tests, the components of dual-core had better rating when compared with single-core components; GFLOP result, and execution time for various processes rank G640T 2.4GHz dual-core above Pentium IV 2.4GHz and 2.8GHz single-core respectively. KEYWORDS FLOP, ILP, TLP, Multi-core, Single-core, Novabench & Linpack. 1. INTRODUCTION Operational advancements of microprocessors over the years were primarily due to speed (frequency) and parallelism increment [1] [2] [3] [13]. The addition of more transistors has led to other ways and/or techniques of increasing parallelism and improving performance [13]. Subsequently, architects then sought to increase parallelism by executing multiple instructions simultaneously (instruction-level parallelism or ILP) through pipelining techniques and superscalar architectures and to reduce the latency of accessing memory with ever larger on-chip caches. Microprocessors further increased ILP by implementing out-of-order execution engines that completed useful work instead of stalling on data and control dependencies [4]. It is now obvious that ILP increment, due to energy, heat and wire delay issues can no longer provide performance improvements that track Moore’s Law [5]. Therefore, vendors have shifted attention to exploring thread-level parallelism (TLP) by designing chips with multiple processors, otherwise known as Multi-core or Chip Multiprocessors (CMPs). The implementation of high level TLP on multi-core(s) will continue to provide performance improvement while dealing with the traditional technology issues faced by single-core performance [4]. These new designs are referred to as multi-core processors because it has minimum of two execution cores with distinct execution pipelines, functional units and usually one level of private cache [24]. A Multi-core processor consists of two or more cores on a single die [6] [9] [26]. The cores of CMPs are essential components usually computation units and caches [6]. The individual cores on a multi-core processor don’t necessarily run as fast as the highest performing single-core processors, but they improve overall performance by handling more workloads in parallel [7] [8].
  • 2. International Journal of Computer Science & The performance of single-core and multi the two execute programs [9]. Single different time slices for these programs; i then all the rest of the processes start lagging behind [9]. However, in the case of multi processors if you have multiple tasks that can be run in parallel at the same time, each of them will be executed by a separate core in para It is a general belief that multi advantages over single-core processor wiring which reduced the delay among cores instead of going off width and instruction window size incur linear increment on the chip area of multi processors whereas a quadratic increment is incurred in single the design-partition of multi-core processors a powered down or powered up as and when needed by the application contributing to overall power dissipation savings [9]. The multiple cores inside the chip are not clocked at a higher frequency, however, their high- overall performance making them more energy efficient and low power cores [8] power consumption has been one of the critical concerns in single Figure 1: Single-core Architecture Figure 1 and 2 illustrates the architecture of a processing system with one core (single two cores (multi-core) respectively processing pipelines (integer and floating instruction and data caches. Recently manufactured dual-core (i.e. multi-core) system i processing systems such as Intel Pentium while in others- Intel Core Duo, the option of a shared versus non and a shared cache can be a possible source of vulnerability to Denial of Service (DoS) attacks because the memory system is disproportionately Despite the many advantages that multi are a few major challenges the technology is facing multi-core processors when compared to that increasing the cores of a processing system don’t automatically get ap systems to run faster [1]. Also, on performance of multi-core chips [14]. With increasing number of cores comes along the huge International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 core and multi-core processors is better understood by observing how . Single-core processors running multiple programs would assign se programs; if one of the processes is taking longer time to complete then all the rest of the processes start lagging behind [9]. However, in the case of multi processors if you have multiple tasks that can be run in parallel at the same time, each of them will be executed by a separate core in parallel thus boosting the performance [8]. It is a general belief that multi-core processor, similar to many-core processor, has several core processor. First, multi-core processors have the advantage of e delay among cores instead of going off-chip [11]. Second, the issue of width and instruction window size incur linear increment on the chip area of multi processors whereas a quadratic increment is incurred in single-core processor design [10]. Third, core processors are configured in such a way that idle powered down or powered up as and when needed by the application contributing to overall power dissipation savings [9]. The multiple cores inside the chip are not clocked at a higher -level parallelism potential is what responsible for its eventual overall performance making them more energy efficient and low power cores [8] power consumption has been one of the critical concerns in single-core processors [11]. Figure 2: Multi-core Architecture the architecture of a processing system with one core (single respectively. A core in this context includes the following: processing pipelines (integer and floating-point), instruction execution units, and the L1 Recently manufactured general-purpose computers appear core) system in that they have two separate but identical cores [12]. In some such as Intel Pentium-D and Opteron, each core has its own private L2 cache, Intel Core Duo, the L2 cache is shared between different cores. In multi non-shared L2 cache have impacts on the performance of the system and a shared cache can be a possible source of vulnerability to Denial of Service (DoS) attacks disproportionately shared among multiple cores [12]. the many advantages that multi-core processors have over single-core processors are a few major challenges the technology is facing [9]. Some software programs run slower on cessors when compared to single-core processors. It has been correctly increasing the cores of a processing system don’t automatically get applications on multi , on-chip interconnects are becoming a critical logjam core chips [14]. With increasing number of cores comes along the huge Information Technology (IJCSIT) Vol 7, No 6, December 2015 118 core processors is better understood by observing how core processors running multiple programs would assign er time to complete then all the rest of the processes start lagging behind [9]. However, in the case of multi-core processors if you have multiple tasks that can be run in parallel at the same time, each of them core processor, has several have the advantage of shorter . Second, the issue of width and instruction window size incur linear increment on the chip area of multi-core core processor design [10]. Third, re configured in such a way that idle cores can be powered down or powered up as and when needed by the application contributing to overall power dissipation savings [9]. The multiple cores inside the chip are not clocked at a higher is what responsible for its eventual [9]; whereas core processors [11]. the architecture of a processing system with one core (single-core) and following: instruction point), instruction execution units, and the L1 appear like the n that they have two separate but identical cores [12]. In some ivate L2 cache, the L2 cache is shared between different cores. In multi-core(s), the performance of the system and a shared cache can be a possible source of vulnerability to Denial of Service (DoS) attacks core processors, there . Some software programs run slower on core processors. It has been correctly identified plications on multi-core logjam in meeting core chips [14]. With increasing number of cores comes along the huge
  • 3. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 119 interconnect delays (wire delays) when data has to be moved across the multi-core chip from memories in particular [15]. The performance-effectiveness of a processor is a function of how fast a CPU can fetch data rather than how fast it can operate on it to avoid data starvation scenario [16]. The capability of multi-core processors to run applications more efficiently than single-core processors has given computer users the ability to keep working, at the same time running the most processor intensive tasks in the background [25]. A process with multiple threads has as many flows of controls as there are threads [26]. Each of these threads execute its own sequence of instructions independently and concurrently [26]. A process with multiple threads is called multithreaded [17] [26]. Thus the multi-cores improve multithreaded throughput, and delivers the advantages of parallel computing to properly thread mainstream applications [18]. Applications such as those use for wireless networking, computer vision, image processing, and multimedia possess great amount of functional and data parallelism, which can be exploited through a multi- core (System-on-Chip) [19] [25]. But for systems where single threaded applications dominate, multi-core systems offer very limited benefits [20]. It is on this note comparative analysis of single-core and multi-core system is being studied. 2. MATERIALS AND METHODS Many researches have approached the comparative analysis of single-core and multi-core systems using diverse techniques, mostly as it concerns the area being studied. This involves the use of compiler or software tools like SPEC2006 to run test on certain components of the system- processor and RAM to see how it responds under specific or general condition per time. FLOP is commonly examined [21]. However, since FLOPs measure only raw execution rate, it can not be regarded as the best measurement of true speed. The true processor speed of a system is dependant on many other factors which include- pipeline length, register size, response time, cache size, cache latency, cache associativity, number of pipelines, instruction sets, number of registers, etc [28]. In this work, the comparative analysis of single-core and multi-core systems was approached by exploring firmware testing. This is done by using hi-tech software(s) to examine systems’ CPU and RAM for performance and stability. The software(s) include: Intelburn Test - Stress testing software; Novabenchmark - Benchmarking software; and Performance Test - Benchmarking software. The stress testing software aims to test stability by trying to force a system to fail; and benchmarking aims to measure and assess the maximum performance possible at a given task or function [27]. Thereafter, a 33.3Gigabyte file-folder of 327 folders and 3480 files comprising videos, application software(s) and documents of different formats were copied from an external SATA-Hard Disk Drive, HDD to systems’ internal HDD; features such as Number of processes, CPU usage, and Physical memory were observed and data collected for both the single-core and multi-core systems. The time taken to complete the transfer was however put under critical examination. Driverpack solutions and CPU-Z software tools were used to check for systems’ CPU frequency, CPU temperature and core voltage. Apart from the information provided by these tools, it also envisages how multitasking affects the transfer process and its overall impart on the systems.
  • 4. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 120 Table 1. Configuration of the Systems Under Test (SUT) HP COMPAQ DESKTOP PC, INTEL PENTIUM SINGLE-CORE SYSTEM HP COMPAQ DESKTOP PC, INTEL PENTIUM SINGLE-CORE SYSTEM HP DESKTOP PC, INTEL PENTIUM DUAL-CORE SYSTEM CPU Vendor GenuineIntel GenuineIntel GenuineIntel Name Intel Pentium 4 Intel Pentium 4 Intel Pentium G640T Core Frequency (GHz) 2.4 GHz 2.8 GHz 2.4 GHz Number of CPU 1 1 1 Number of cores 1 1 2 Number of threads 1 2 2 Processor type Intel Pentium 4 Single-core processor Intel Pentium 4 Single-core processor Intel Pentium G640T Dual-core processor PLATFORM BIOS Name & Version BIOS F.3.4 BIOS F.3.4 BIOS AMI 7.12 BIOS Setting Default Default Default MEMORY MODULE(S) Vendor Kingston Kingston Samsung Type DDR DDR DDR3 Size 2GB 2GB 2GB Number of RAM modules 2 x 1024MB 2 x 1024MB 2048MB Channels Dual Dual Single HARD DISK Vendor & model numbers Maxtor and 6Y080L0 Maxtor and 6Y080L0 Seagate ST500DM002- 1BD142 Type IDE IDE SATA Size 80GB 80GB 500GB Number of Disk in System 1 1 1 OPERATING SYSTEM Name Microsoft Windows 7 (32-bit) Microsoft Windows 7 (32-bit) Microsoft Windows 7 (64-bit) Language English English English 3. RESULTS AND DISCUSSION 3.1 Novabench The table below shows an average of three-test score of CPU and RAM component for each system configuration: Intel Pentium IV 2.40GHz, Intel Pentium IV 2.80GHz, and Intel Pentium G640T 2.40GHz.
  • 5. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 121 Table 2. Novabench Test Score of 2.4 GHz & 2.8 GHz Single-Core and 2.4 GHz Dual-Core Configurations Intel Pentium 4 2.40GHz Intel Pentium 4 2.80GHz Intel Pentium G640T 2.40GHz 2040 MB System RAM Score: 95 Score: 95 Score: 112 RAM Speed (MB/s) 1446 1504 5215 CPU Tests Score: 55 Score: 100 Score: 229 Floating Point Operations/Second 13453050 27492557 50843295 Integer Operations/Second 17622214 58554955 142977614 MD5 Hashes Generated/Second 3345501 297062 794837 Figure 3. RAM Speed (MB/s) of 2.4 GHz & 2.8 GHz Single-Core, and 2.4 GHz Dual-Core 1446 1504 5215 0 1000 2000 3000 4000 5000 6000 Intel P4 2.4GHz Single core Intel P4 2.8GHz Single core Intel G640T 2.4GHz Dual core RAMSpeed RAM Speed (MB/s)
  • 6. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 122 Figure 4. CPU Operations per Second 3.2 Intelburn Test- Linpack Linpack performs an operation from linear algebra called LU Factorization [22]. The three systems under experimental observation are found to be stable. Table 3. Linpack Result of Intel P4 2.4 GHz Single-Core Linpack Output Result for Intel Pentium 4 2.40GHz @ 2.394 MHz Single-core Time (s) Speed (GFlops) Result 1 234.925 3.8050 2.908973e-002 2 233.757 3.8240 2.908973e-002 3 231.678 3.8583 2.908973e-002 4 232.552 3.8438 2.908973e-002 5 232.742 3.8407 2.908973e-002 6 231.629 3.8591 2.908973e-002 7 231.814 3.8560 2.908973e-002 8 231.602 3.8596 2.908973e-002 9 229.441 3.8959 2.908973e-002 10 229.924 3.8877 2.908973e-002 Test completed successfully in 2607.47seconds. Average GFlops: 3.85301 13453050 27492557 50843295 17622214 58554955 142977614 334501 297062 794837 0 20000000 40000000 60000000 80000000 10000000 12000000 14000000 16000000 Intel P4 2.4GHz Single core Intel P4 2.8GHz Single core Intel G640T 2.4GHz Dual core Mark Floating Point Operations/second Integer Operations/second MD5 Hashes Generated/second
  • 7. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 123 Table 4. Linpack Result of Intel P4 2.8 GHz Single-Core Linpack Output Result for Intel Pentium 4 2.80GHz @ 2.793 MHz Single-core Time (s) Speed (GFlops) Result 1 281.094 3.1800 3.265950e-002 2 280.838 3.1829 3.265950e-002 3 284.360 3.1435 3.265950e-002 4 280.986 3.1812 3.265950e-002 5 280.581 3.1858 3.265950e-002 6 280.412 3.1878 3.265950e-002 7 281.230 3.1785 3.265950e-002 8 280.596 3.1857 3.265950e-002 9 280.848 3.1828 3.265950e-002 10 280.750 3.1839 3.265950e-002 Test completed successfully in 3169.20seconds Average GFlops: 3.17921 Table 5. Linpack Result of Intel Pentium G640T 2.4 GHz Dual-Core Linpack Output Result for Intel Pentium G640T 2.4GHz @ 2.394 MHz Dual- core Time (s) Speed (GFlops) Result 1 53.876 16.5915 3.436777e-002 2 53.087 16.8381 3.436777e-002 3 53.018 16.8600 3.436777e-002 4 53.005 16.8641 3.436777e-002 5 52.935 16.8863 3.436777e-002 6 52.941 16.8844 3.436777e-002 7 52.951 16.8812 3.436777e-002 8 52.929 16.8883 3.436777e-002 9 52.938 16.8855 3.436777e-002 10 52.947 16.8827 3.436777e-002 Test completed successfully in 611.98seconds. Average GFlops: 16.84621
  • 8. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 124 Figure 5. Intel(R) Linpack Output Result for Single-Core and Dual-Core 3.3 Performance Test Table 6. Performance for Pentium IV 2.4 GHz & 2.8 GHz Single-Core, and 2.4 GHz Dual-Core Intel Pentium 4 2.40GHz @ 2.4 GHz Intel Pentium 4 2.80GHz @ 2.8 GHz Intel Pentium G640T 2.40GHz @ 2.4 GHz CPU Mark 317.2 452.0 2531.4 CPU - Integer Math 48.1 80.6 843.4 CPU - Floating Point Math 237.8 250.1 1056.4 CPU - Find Prime Numbers 119.9 107.3 639.6 CPU - Multimedia Instructions 0.3 0.35 8.4 CPU - Compression 677.0 1108.9 2803.7 CPU – Encryption 1.80 4.72 7.2 CPU – Physics 23.0 31.5 143.7 CPU - String Sorting 401.7 541.5 1679.4 MEMORY Mark 383.7 409.6 792.3 Allocate Small Block 906.4 1238.6 4468.9 Read Cached 1562.9 1581.4 1920.0 Read Uncached 1267.9 1383.1 1778.5 Write 793.7 941.0 1730.7 Large RAM 365.8 324.6 805.8 2.60000E-02 2.70000E-02 2.80000E-02 2.90000E-02 3.00000E-02 3.10000E-02 3.20000E-02 3.30000E-02 3.40000E-02 3.50000E-02 1 2 3 4 5 6 7 8 9 10 LinpackResult Times to Run P4 2.4GHz Single core P4 2.8GHz Single core G640T 2.4GHz Dual core
  • 9. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 125 Figure 6. CPU Performance Mark for Single-Core and Dual-Core Systems Figure 7. Memory Performance Mark for Single-Core and Dual-Core Systems 906.4 1562.9 1267.9 793.7 365.8 1238.6 1581.4 1383.1 941.0 324.6 4468.9 1920.0 1778.5 1730.7 805.8 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 Allocate Small Block Read Cached Read Uncached Write Large RAM Mark Memory Components Intel P4 2.4GHz Single core Intel P4 2.8GHz Single core Intel Pent. G640T 2.4GHZ Dual core
  • 10. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 126 Table 7. Transfer of 33.3GB File (Copy) from External SATA-HDD to the System Intel Pentium 4 2.4GHz Single-core Intel Pentium 4 2.8GHz Single-core Intel Pentium G640T 2.4GHz Dual-core Number of Processes 38 38 38 CPU Usage 57% 40% 16% Physical Memory 29% - 30% 611MB – 624MB 32% - 33% 620MB – 650MB 40% - 41% 795MB – 816MB Maximum Frequency 100% 100% 60% - 69% Time Taken to Copy files 1489 seconds 1446 seconds 1284 seconds CPU Temperature Before Experiment 38o C 38o C 35o C CPU Temperature After Experiment 41o C 41o C 39o C Core Voltage 1.470volts 1.340volts 0.776volts Maximum TDP 89W 89W 35W Total Execution time of Intel Pentium IV 2.4 GHz Single-core system is 1489 seconds. Total Execution time of Intel Pentium IV 2.8 GHz Single-core system is 1446 seconds. Total Execution time of Intel Pentium G640T 2.4 GHz Dual-core system is 1284 seconds. It is the usual norm to evaluate and relate the performance of two different computers, say, X and Y. When computer X is faster than computer Y, this means that the time taken to execute or complete a particular task and/or process on computer X is lower than on computer Y. Then, computer X is n times faster than computer Y [21]. Therefore, = … … … … … … … … … … … … … … … … … … … … … … … … . … … (1) = = = ! " " # ! " " # … … … … … … … … . … (2) The most significant approach for measuring performance is by considering the execution time of real programs/process. Performance and execution time are reciprocals, increasing performance decreases execution time [21]. Given, = % &' " ()( ( ) * #' " ()( ( )) … … … … … … … … … . … … … … … … … … (3) To calculate the percentage of the system execution time, % &' " ()( ( ) * #' " ()( ( )) = 1 + 100 … … … … … … … … … … … … . … . . (4)
  • 11. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 127 Therefore, % &' " ()( ( ) * #' " ()( ( )) − 1 = 100 … … … … … … … … … … … … . … … (5) = (! 4 % &' " ()( ) − (! 16403 * #' " ()( ) )(! 16403 * #' " ()( ) × 100 1 … … … … … … … … … … … … … … … … … … … … … … … … … … … … … … . (6) Now to calculate for the comparison of Intel Pentium IV 2.4GHz Single-core and Intel Pentium G640T 2.4GHz Dual-core: = 1489 − 1284 1284 × 100 1 … … … … … … … … … … … … … … … … … … … … … … … … … … … … . . (7) = 205 × 100 1284 = 20500 1284 … … … … … … … … … … … … … … … … … … … … … … … . … … … … … . (8) = 15.966% … … … … … … … … … … … … … … … … … … … … … … … … … … … … … … … . . … . . . (9) Then, %9 :;9 = 1489 1284 = 1.1597 … … … … … … … … … … … … … … … … … … … … … … . … … … … . (10) If we repeat steps (3) – (6) for the comparison of Intel Pentium IV 2.8GHz Single-core and Intel Pentium G640T 2.4GHz Dual-core systems: = 1446 − 1284 1284 × 100 1 … … … … … … … … … … … … … … … … … … … … … … … … … … … . … (11) = 162 × 100 1284 = 16200 1284 … … … … … … … … … … … … … … … … … … … … … … … … … . … … … (12) = 12.6168% … … … … … … … … … … … … … … … … … … … … … … … … … … … … . . … … … … (13) Then, %9 :;9 = 1446 1284 = 1.1262 … … … … … … … … … … … … … … … … … … … … … … … … … … . . (14) Both single-core and multi-core systems responded to the test in sequential and exponential manner, thereby showing significant difference in the architectures as regard certain tasks. Components and devices like HDD, DVD-CD writer and graphic adapter were not considered because of reduced-functionality and technology gap between the periods these systems were introduced into the market. However, major emphasis was put into the CPU-components and RAM as they are the most prominent features of computer architecture. The Novabench test score when examined showed astronomical difference in the performance of RAM speed and CPU components of 2.4GHz & 2.8GHz single-core, and 2.4GHz dual-core respectively. The techno-architecture of single-core processor is one major factor responsible for reduced functionality being experienced while carrying out this research. The chart representation can be found in figure 3 & 4. The dual-core system further exhibit significant superiority over single-core when put under performance benchmark 7.0, the CPU and memory marks shows that the components of the dual- core system is well utilized and of high performance rating. The mark is available in figure 6 and 7 respectively.
  • 12. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 128 The above results confirm that Intel Pentium G640T 2.4GHz Dual-core system is over 15.97% faster than Intel Pentium IV 2.4GHz Single-core system and 12.62% faster than Intel Pentium IV 2.8GHz Single-core system during the transfer of 33.3GB files from external HDD to these systems, with the speedup of 1.16 and 1.13 respectively. This is however synonymous to one of the various methods of comparing Single-core and Multi-core (Dual-core) systems [22], an explanation well-understood by an ordinary user; and in all cases of such and others the performance of multi-core will always surpass single-core except for single-threaded applications. Also, the table above shows the CPU Usage of 57% and 40% for Pentium IV 2.4GHz and 2.8GHz Single-core at Maximum Frequency 100%, this explains great differences between Single-core and Multi-core because at Maximum Frequency the systems were heat up and any attempt to perform additional operation (multitask) will drag the systems and if further intensify will breakdown the systems; in fact rendering could not be attempted because of reduced- functionality in Single-core. The Physical Memory result shows that there is a limit to what memory could do when the frequency is 100 percent utilized as we saw in the experiment. But this is not the case of Pentium G640T Dual-core as the CPU Usage was just 16%, Maximum Frequency 60% - 69% and Physical Memory 795MB – 816MB. With all the astronomical performance display by dual-core in all test, one would have expected a faster rating and clear-cut speed when copying from an external HDD to the system, but this was not so as the time difference between the dual-core and single-core was not large; however, this is the peak of Intel Pentium IV 2.4GHz and 2.8GHz performance because the frequency was totally exhausted, temperature rising fast, and core voltage increasing thereby demanding more power. Therefore, the study envisages that communication overhead and memory latencies are still a limiting factor in multi-core performance. Finding good cache configurations would be helpful, thereby taking pressure off the main memory and reducing communication and cache coherence latencies, and increasing overall performance [23]. Linpack experiment clearly showed that all the systems being considered were stable and had operational capacity; the time taken to complete the looping for each system and the average GFLOPs obtained put Intel Pentium G640T 2.4GHz dual-core ahead of Intel Pentium IV 2.4GHz & 2.8GHz single-core, which comply with international benchmark. In all the test dual-core components had better rating, the linpack stress test was completed in 611.98 seconds with average GFLOPs 16.85 as against Intel Pentium IV 2.4GHz and 2.8GHz single-core systems which was completed in 2607.47 and 3169.20 seconds, and GFLOPs 3.85 and 3.18 respectively. Why the GFLOPs of Pentium IV 2.4GHz surpassed its 2.8GHz counterpart could not be ascertained as at the time of compiling this research. Intel Pentium G640T 2.4GHz dual-cores, unlike the single-core processors has maximum TDP of 35W, which makes it a low power consumption processor. It also utilizes the RAM memory more efficiently than the single-core, which necessitated the hypothesis that CPU architecture determines the limit of RAM utilization in a system and can not be stretched beyond this limit. 4. CONCLUSION The study was able to show that G640T dual-core was 15.97% and 12.62% faster than Pentium IV 2.4GHz and 2.8GHz single-cores during the file transfer process, with the speedup of 1.16 and 1.13 respectively. The CPU usage for Pentium IV 2.4GHz and 2.8GHz were 57% and 40% at peak frequency while 16% CPU usage was observed in G640T dual-core; Novabench and Performance Test of CPU and Memory components rates G640T dual-core far above the single- core(s). After all investigation the research concludes that the success of multi-core so far can not be derived from its clock speed when placed side-by-side a single-core of same clock speed or higher but division of labour amongst cores, faster core-to-core communication, dynamic cache sharing between cores, smaller size of caches, lower core and bus frequencies, with significant
  • 13. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 129 contribution from the memory as shown in the linpack experiment (average GFLOPs- 16.85), and the time taken to successfully complete ten loops (i.e. 611.98 second); this is the major reason they perform well in multi-threaded application and could not replicate the performance in single- threaded application. This study points out the fact that despite the effectiveness and efficiency associated with multi- core system as against single-core system, which emanated as a result of technological advancement and architectural redesign, it still has certain deficiencies that must be overcome for optimal overall performance while the single-core which provided the need for more cores is still good and reliable for specific purposes. 5. RECOMMENDATION Though single-core has made a mark and multi-core is taking over, increasing the frequency by all means and reducing the power at all cost in proportion to the core(s) of a processor is not the real solution to the problems facing multi-core but the need to channel more resources into coming up with better architectural design that would hasten synchronization between cores and memory, and relinquish unnecessary on-chip interconnect delay, latency & cache issue, and indecision that contributes to unwarranted slow pace of performance in multi-core processors. REFERENCES [1] Cass, S. (2010). Multicore Processors Create Software Headaches. Technology Review, 113(3), 74- 75. [2] Parkhurst, J., Darringer, J. & Grundmann, B. (2006). From Single Core to Multi-Core: Preparing for a new exponential, Computer-Aided Design. ICCAD '06. IEEE/ACM International Conference on, pp. 67. [3] Goodacre, J. & Sloss, A.N. (2005). Parallelism and the ARM instruction set architecture, Computer, vol. 38, no. 7, pp. 42-50. [4] Michael R. Marty, (2008). Cache Coherence Techniques for Multicore Processors. [5] V. Agarwal, M. S. Hrishikesh, S. W. Keckler, & D. Burger, (2000). Clock Rate versus IPC: The End of the Road for Conventional Microarchitectures. In Proceedings of the 27th Annual International Symposium on Computer Architecture, pages 248–259. [6] Lizhe Wang, Jie Tao, Gregor von Laszewski, Holger Marten. (2010). Multicores in Cloud Computing: Research Challenges for Applications. Journal of Computers, Vol 5, No 6. [7] Carlos Madriles, Pedro López, Josep M. Codina, Enric Gibert, Fernando Latorre, Alejandro Martínez, Raúl Martínez and Antonio González (2009). Boosting Single-thread Performance in Multi-core Systems through Fine-Grain Multi-Threading. Intel Barcelona Research Center, Intel Labs. Universitat Politècnica de Catalunya, Barcelona (Spain). [8] D. Geer, (2005). Chip Makers Turn to Multicore Processors. Computer, vol. 38, pp. 11-13. [9] Balaji Venu (2011). Multi-core processors: An overview. Department of Electrical Engineering and Electronics, University of Liverpool, Liverpool, UK. [10] H. Lance, N. A. Basem, & O. Kunle (1997). A Single-Chip Multiprocessor. IEEE Computer, Volume: 30 , Issue: 9, pp.79-85. [11] Pollawat Thanarungroj, & Chen Liu. Power and Energy Consumption Analysis on Intel SCC Many- Core System [12] Thomas Moscibroda Onur Mutlu (2007). Memory Performance Attacks: Denial of Memory Service in Multi-Core Systems. Microsoft Research. [13] Kalyani D. Kolhe, U. M. Gokhale, Darshan Pendhari (2014). Design of Cache Controller for Multicore Systems Using Parallelization Method. Proceedings of 11th IRF International Conference, 15th June-2014, Pune, India, ISBN: 978-93-84209-27-8. [14] Jongman Kim, Dongkook Park, Theocharides, T., Vijaykrishnan, N. & Das, C.R. (2005). A low latency router supporting adaptivity for on-chip interconnects. Design Automation Conference. Proceedings. 42nd, pp. 559
  • 14. International Journal of Computer Science & Information Technology (IJCSIT) Vol 7, No 6, December 2015 130 [15] Y. Hoskote, S. Vangal, A. Singh, N. Borkar and S. Borkar, (2007). A 5-GHz Mesh Interconnect for a Teraflops Processor. Micro, IEEE, vol. 27, pp. 51-61. [16] Moore, S.K. (2008). Multicore is bad news for supercomputers. Spectrum, IEEE, vol. 45, no. 11, pp. 15-15. [17] A.I. Fasiku, J. B. Olawale, O. T. Jinadu (2012). A Review of Architectures - Intel Single Core, Intel Dual Core and AMD Dual Core Processors and the Benefits. International Journal of Engineering and Technology Volume 2 No. 5. [18] V. Saxena and M. Shrivastava, (2009). UML Modeling and Performance Evaluation of Multithreaded Programs on Dual Core Processor. Ambedkar University (Central University), Lucknow, India, published by International Journal of Hybrid Information Technology Vol.2, No.3. [19] Vipin S. Bhure, Praveen R. Chakole. Design of Cache Controller for Multi-core Processor System. International Journal of Electronics and Computer Science Engineering. Available Online at www.ijecse.org ISSN-2277-1956/V1N2-520-526 [20] Hongtao Zhong (2008). Architectural and Compiler Mechanisms for Accelerating Single Thread Applications on Multicore Processors. A dissertation submitted in partial fulfilment of the requirements for the degree of Doctor of Philosophy (Computer Science and Engineering) in The University of Michigan. [21] Fasiku, A. Ireti, Olawale, J. Babatunde, & Abiola, B. Oluwatoyin, (2013). Comparison of Intel Single-Core and Intel Dual-Core Processor Performance. International Journal of Scientific Research in Computer Science and Engineering, www.isroset.org, Volume-1, Issue-1. [22] Douglas M. Pase and Matthew A. Eckl (2005). A Comparison of Single-Core and Dual-Core Opteron Processor Performance for HPC. IBM xSeries. Performance Development and Analysis 3039 Cornwallis Rd. Research Triangle Park, NC 27709-2195. [23] Julian Bui, Chenguang Xu, and Sudhanva Gurumurthi (2007). Understanding Performance Issues on both Single Core and Multi-core Architecture. [24] Scott Schneider (2010). Shared Memory Abstractions for Heterogeneous Multicore Processors. Dissertation submitted to the Faculty of the Virginia Polytechnic Institute and State University in partial fulfilment of the requirements for the degree of Doctor of Philosophy in Computer Science. [25] Vipin S. Bhure, and Praveen R. Chakole (2012). Design of Cache Controller for Multi-core Processor System. International Journal of Electronics and Computer Science Engineering. Vol.1 N2-520-526. Available Online at www.ijecse.org [26] Cameron Hughes, and Tracey Hughes. Professional Multicore Programming- Design & Implementation for C++ Developers. www.wrox.com [27] https://en.wikipedia.org/wiki/Stress_test_(hardware) [28] http://forum.sysprofile.de/computerfragen/256129-top-oder-flop-pc.html AUTHORS Ogundairo Johnson graduated from Yaba College of Technology, Yaba, Lagos with Ordinary National Diploma, OND and Higher National Diploma, HND in Electrical and Electronics Engineering. He has also attended National Open University of Nigeria (NOUN), Enugu Campus, Enugu from 2011 to 2013 for both the Postgraduate Diploma and Master of Science degree in Information Technology. Omosehinmi Dinyo attended Obafemi Awolowo University, Ile-Ife, Nigeria where he was awarded Bachelor of Science degree in Physics. He earned a Master’s degree in Physics from the Federal University of Technology, Akure, Nigeria between 2012 and 2015. Dinyo has also obtained certificates in Computer Hardware Engineering, and Radio Network Planning & Optimization, RNPO.