SlideShare ist ein Scribd-Unternehmen logo
1 von 11
Downloaden Sie, um offline zu lesen
© 2012 
Copyrights © Yole Développement SA. All rights reserved. 
Thin Wafers & Temporary Bonding Equipment & Materials 
Market 
Memories, Logic, Power Devices & Image Sensors markets will drive thin wafers market 
and related handling technologies. 
Infineon EVGroup Discera Sony PVA Tepla PlanOptik Matech 
SAMPLE
© 2012• 2 
Copyrights © Yole Développement SA. All rights reserved. 
Why a thin wafers & temporary bonding equipment report? 
•This report is an update on two former 2011 Yole reports « Thin Wafer Manufacturing, Equipment & Materials Markets” and “Thin Wafer Market & Applications”. 
•Because some thin wafers, specially below 100μm thickness, will need a temporary bonding step, we decide to release this 2012 version bridging the gap between thin wafers and temporary bonding equipment.
© 2012• 3 
Copyrights © Yole Développement SA. All rights reserved. 
What is NEW/2011 report 
•What is new: 
–Updated thin wafers forecast 2011-2017 
•By application 
•By thickness 
•By wafer size 
–Updated players 
–New chapter on Power MOSFETs 
•Why thin wafers for power 
•Players 
•Roadmap 
–New chapter on Photovoltaic 
•Why thin wafers for PV 
•Players 
•Roadmap 
–New chapter on temporary bonding: 
•Players 
•Technologies 
•Applications 
•Challenges 
•Equipment forecast 2011-2017 
–In units 
–In US$ 
–By application 
•Temporary chemistry forecast 2011-2017 
•The report is now covering: 
–MEMS 
–CMOS Image Sensors (incl. BSI) 
–Power Devices 
–HBLEDs 
–RF 
–Memory & Logic 
–Interposers and Advanced Packaging 
–Photovoltaic 
•Compared to 2011, we have identified an increasing use for thin wafers in different applications: 
–Some MEMS devices are now using temporary bonding for Discear’s oscillators for example 
–Power devices wafer are getting thinner with 300 mm wafers coming, requiring 300 mm temporary bonding tools. 
–We now count power MOSFETs as devices requiring temporary bonding. 
–CIS’ Back Side Illumination is still a big hit for ultra thin wafers (below 10μ thickness). SONY, SONY ERICSSON, SAMSUNG, APPLE are using BSI CMOS Imagers in their camphones. 
–The Temporary Bonding equipment market is still small but 3D ICs will be a big push for this technology 
–We have estimated what the ratio for thin wafers using temporary bonding will be. We estimate that 10% of the total thin wafers shipment would go through a temporary bonding step by 2017.
© 2012• 4 
Copyrights © Yole Développement SA. All rights reserved. 
From “standard” thickness to ultra-thin wafers 
•The demand for ultra-thin wafers has increased in a wide range of applications such as stacked packages, portable communication devices, smart cards, cellular phones … 
•This, in turn, requires new handling technologies. This report is dealing with all thin wafers applications with also a focus on thin wafers requiring temporary bonding step. 
High interconnect density: 
Aggressive TSV pitch & diameters 
IC package devices: Reduced package size and thickness 
Power dissipation, higher electrical performance 
Technology limit for handling: special equipment and processes are required (e.g. temporary bonding to a support carrier) 
Thin wafer drivers 
100μ 
10μ 
1μ 
500μ 
Standard wafers 
Thin wafers 
Ultra-thin wafers 
SCOPE OF THE REPORT 
200μ
© 2012• 5 
Copyrights © Yole Développement SA. All rights reserved. 
Thin wafer applications covered in the 
report 
MEMS 
CMOS Image 
Sensors 
Interposers 
Source: Micron 
3D Stacking of 
memory, logic 
Power Devices 
HBLEDs 
RF 
Photovoltaic 
THIN WAFERS
© 2012• 6 
Copyrights © Yole Développement SA. All rights reserved. 
Thinned Wafers vs. TOT Number of Shipped Wafers 
•By 2017, we estimate the ratio of THIN wafers vs. TOTAL number of wafers (in 300 mm eq.) to be 74%. 
•Compared to our 2011 forecasts, a larger growth in thin power devices and 3D stacking explain a larger increase than previously expected. 
CAGR 
11% 
14% 
2011 
2012 
2013 
2014 
2015 
2016 
2017 
Est 2011 ratio for thin wafers 
34% 
38% 
41% 
47% 
53% 
58% 
Est 2012 ratio for thin wafers 
39% 
43% 
47% 
53% 
61% 
69% 
74% 
0% 
10% 
20% 
30% 
40% 
50% 
60% 
70% 
80% 
Ratio Thinned Wafers/TOT IC Wafers (300 mm eq.) 
Thinned Wafers vs. TOTAL IC Wafers (300 mm eq.) 
2011 vs. 2012 Forecast comparison
© 2012• 7 
Copyrights © Yole Développement SA. All rights reserved. 
2011 TOP Thin Wafer Processors (> 10kWSPY)
© 2012• 8 
Copyrights © Yole Développement SA. All rights reserved. 
Why special thin wafer handling technologies? 
•New handling techniques for further wafer processing which must be performed on the backside of thin wafers (starting at 100μ thickness) are required such as temporary bonding/debonding technologies. 
•Actually, ginding below 50μm of residual thickness results in a great challenge for thinning and handling of ultra thin wafers in both front-end and back-end processes. Indeed, in this thickness range, the Si device wafer becomes fragile and flexible. 
•Today, temporary bonding technologies are numerous with no clear winning scenario coming out today. 
–We have identified at least 6 different technologies for temporary wafer bonding with carriers. 
–Each one of these approaches might have subtle differences in terms of chemistry, carriers… 
–Along with these approaches came temporary bonding without carrier and reconstituted wafer for Fan Out WLP. So, the total number of approaches is more than 10 as of today
© 2012• 9 
Copyrights © Yole Développement SA. All rights reserved. 
Thin wafer handling solutions 
Thin wafer handling 
With carrier 
Without carrier 
Temporary bonding/debonding 
Mobile Electro Static Wafer 
Adhesive tape 
Peripheral Ring 
•Disco (TAIKO process) 
•DoubleCheck 
•Semitool (AMAT) 
Carriers/Equipment 
•FhG/ProTec 
•ProTec/ProTec 
Equipment & materials 
•TOK 
•3M 
•Nitto denko 
•Lintec 
With intermediate layer 
Without intermediate layer 
Materials 
•3M 
•TOK 
•Brewer Science 
•Lintec 
•Nitta Haas 
•Nitto Denko 
•Promerus 
•Shin Etsu 
•JSR 
•Thin Materials AG 
Equipment 
•EVG 
•SUSS MicroTEC 
•TEL 
•TOK 
•3M 
•AML
© 2012• 10 
Copyrights © Yole Développement SA. All rights reserved. 
Who should buy 
•Foundries & chip manufacturers 
•Get an overview of the large panel of accessible temporary wafer bonding technologies 
•Spot the important temporary wafer bonding technologies in the future for your application 
•Temporary wafer bonder manufacturers 
•Identify and evaluate temporary bonding markets with market size, growth and key customers 
•Analyze the threads and opportunities 
•Monitor and benchmark your competitor’s advancements 
•Chemical companies 
•Identify and evaluate what the requirements for temporary bonding materials will be 
•Analyze the threads and opportunities 
•Financial & Strategic investors 
•Understand the main market dynamics and main technological trends 
•Get the list of the key players
© 2012• 11 
Copyrights © Yole Développement SA. All rights reserved. 
For More Information … 
Take a look at our websites 
www.yole.fr 
and 
www.i-micronews.com 
Online free registration to YOLE publications

Weitere ähnliche Inhalte

Andere mochten auch

Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Yole Developpement
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...Yole Developpement
 
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...Yole Developpement
 
TPaper presentation
TPaper presentationTPaper presentation
TPaper presentationToya Amechi
 
2015Cost Savings Opportunities for Semiconductor Assembly Process
2015Cost Savings Opportunities for Semiconductor Assembly Process2015Cost Savings Opportunities for Semiconductor Assembly Process
2015Cost Savings Opportunities for Semiconductor Assembly ProcessBill Kohnen
 
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...Invensas
 
Obsèques April Garanties
Obsèques April GarantiesObsèques April Garanties
Obsèques April GarantiesADPAssurances
 
Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1SUSS MicroTec
 
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...Yole Developpement
 
PV Monitoring Business Analysis, Technology Trends and Players 2013 Report b...
PV Monitoring Business Analysis, Technology Trends and Players  2013 Report b...PV Monitoring Business Analysis, Technology Trends and Players  2013 Report b...
PV Monitoring Business Analysis, Technology Trends and Players 2013 Report b...Yole Developpement
 
LED phosphor patent investigation 2013 Report by Yole Developpement
LED phosphor patent investigation 2013 Report by Yole DeveloppementLED phosphor patent investigation 2013 Report by Yole Developpement
LED phosphor patent investigation 2013 Report by Yole DeveloppementYole Developpement
 

Andere mochten auch (11)

Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
 
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
 
TPaper presentation
TPaper presentationTPaper presentation
TPaper presentation
 
2015Cost Savings Opportunities for Semiconductor Assembly Process
2015Cost Savings Opportunities for Semiconductor Assembly Process2015Cost Savings Opportunities for Semiconductor Assembly Process
2015Cost Savings Opportunities for Semiconductor Assembly Process
 
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
 
Obsèques April Garanties
Obsèques April GarantiesObsèques April Garanties
Obsèques April Garanties
 
Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1
 
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
 
PV Monitoring Business Analysis, Technology Trends and Players 2013 Report b...
PV Monitoring Business Analysis, Technology Trends and Players  2013 Report b...PV Monitoring Business Analysis, Technology Trends and Players  2013 Report b...
PV Monitoring Business Analysis, Technology Trends and Players 2013 Report b...
 
LED phosphor patent investigation 2013 Report by Yole Developpement
LED phosphor patent investigation 2013 Report by Yole DeveloppementLED phosphor patent investigation 2013 Report by Yole Developpement
LED phosphor patent investigation 2013 Report by Yole Developpement
 

Mehr von Yole Developpement

Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleYole Developpement
 
Processor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleProcessor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleYole Developpement
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Yole Developpement
 
MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021Yole Developpement
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleYole Developpement
 
Neuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleNeuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleYole Developpement
 
Future Soldier Technologies 2021
Future Soldier Technologies 2021Future Soldier Technologies 2021
Future Soldier Technologies 2021Yole Developpement
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020Yole Developpement
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleYole Developpement
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020Yole Developpement
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Yole Developpement
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020Yole Developpement
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...Yole Developpement
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Yole Developpement
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020Yole Developpement
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020Yole Developpement
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Yole Developpement
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingYole Developpement
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Yole Developpement
 

Mehr von Yole Developpement (20)

Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - Sample
 
Processor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleProcessor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - Sample
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021
 
MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
 
Neuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleNeuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - Sample
 
Silicon Photonics 2021
Silicon Photonics 2021Silicon Photonics 2021
Silicon Photonics 2021
 
Future Soldier Technologies 2021
Future Soldier Technologies 2021Future Soldier Technologies 2021
Future Soldier Technologies 2021
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - Sample
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based Testing
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020
 

Kürzlich hochgeladen

Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingEdi Saputra
 
Cyberprint. Dark Pink Apt Group [EN].pdf
Cyberprint. Dark Pink Apt Group [EN].pdfCyberprint. Dark Pink Apt Group [EN].pdf
Cyberprint. Dark Pink Apt Group [EN].pdfOverkill Security
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...apidays
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProduct Anonymous
 
CNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In PakistanCNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In Pakistandanishmna97
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...Zilliz
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FMESafe Software
 
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...apidays
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...apidays
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWERMadyBayot
 
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Victor Rentea
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Orbitshub
 
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Angeliki Cooney
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdfSandro Moreira
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MIND CTI
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherRemote DBA Services
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024The Digital Insurer
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businesspanagenda
 

Kürzlich hochgeladen (20)

Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Cyberprint. Dark Pink Apt Group [EN].pdf
Cyberprint. Dark Pink Apt Group [EN].pdfCyberprint. Dark Pink Apt Group [EN].pdf
Cyberprint. Dark Pink Apt Group [EN].pdf
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
CNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In PakistanCNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In Pakistan
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 

Thin Wafers, Temporary Bonding Equipment & Materials Market 2012 Report by Yole Developpement

  • 1. © 2012 Copyrights © Yole Développement SA. All rights reserved. Thin Wafers & Temporary Bonding Equipment & Materials Market Memories, Logic, Power Devices & Image Sensors markets will drive thin wafers market and related handling technologies. Infineon EVGroup Discera Sony PVA Tepla PlanOptik Matech SAMPLE
  • 2. © 2012• 2 Copyrights © Yole Développement SA. All rights reserved. Why a thin wafers & temporary bonding equipment report? •This report is an update on two former 2011 Yole reports « Thin Wafer Manufacturing, Equipment & Materials Markets” and “Thin Wafer Market & Applications”. •Because some thin wafers, specially below 100μm thickness, will need a temporary bonding step, we decide to release this 2012 version bridging the gap between thin wafers and temporary bonding equipment.
  • 3. © 2012• 3 Copyrights © Yole Développement SA. All rights reserved. What is NEW/2011 report •What is new: –Updated thin wafers forecast 2011-2017 •By application •By thickness •By wafer size –Updated players –New chapter on Power MOSFETs •Why thin wafers for power •Players •Roadmap –New chapter on Photovoltaic •Why thin wafers for PV •Players •Roadmap –New chapter on temporary bonding: •Players •Technologies •Applications •Challenges •Equipment forecast 2011-2017 –In units –In US$ –By application •Temporary chemistry forecast 2011-2017 •The report is now covering: –MEMS –CMOS Image Sensors (incl. BSI) –Power Devices –HBLEDs –RF –Memory & Logic –Interposers and Advanced Packaging –Photovoltaic •Compared to 2011, we have identified an increasing use for thin wafers in different applications: –Some MEMS devices are now using temporary bonding for Discear’s oscillators for example –Power devices wafer are getting thinner with 300 mm wafers coming, requiring 300 mm temporary bonding tools. –We now count power MOSFETs as devices requiring temporary bonding. –CIS’ Back Side Illumination is still a big hit for ultra thin wafers (below 10μ thickness). SONY, SONY ERICSSON, SAMSUNG, APPLE are using BSI CMOS Imagers in their camphones. –The Temporary Bonding equipment market is still small but 3D ICs will be a big push for this technology –We have estimated what the ratio for thin wafers using temporary bonding will be. We estimate that 10% of the total thin wafers shipment would go through a temporary bonding step by 2017.
  • 4. © 2012• 4 Copyrights © Yole Développement SA. All rights reserved. From “standard” thickness to ultra-thin wafers •The demand for ultra-thin wafers has increased in a wide range of applications such as stacked packages, portable communication devices, smart cards, cellular phones … •This, in turn, requires new handling technologies. This report is dealing with all thin wafers applications with also a focus on thin wafers requiring temporary bonding step. High interconnect density: Aggressive TSV pitch & diameters IC package devices: Reduced package size and thickness Power dissipation, higher electrical performance Technology limit for handling: special equipment and processes are required (e.g. temporary bonding to a support carrier) Thin wafer drivers 100μ 10μ 1μ 500μ Standard wafers Thin wafers Ultra-thin wafers SCOPE OF THE REPORT 200μ
  • 5. © 2012• 5 Copyrights © Yole Développement SA. All rights reserved. Thin wafer applications covered in the report MEMS CMOS Image Sensors Interposers Source: Micron 3D Stacking of memory, logic Power Devices HBLEDs RF Photovoltaic THIN WAFERS
  • 6. © 2012• 6 Copyrights © Yole Développement SA. All rights reserved. Thinned Wafers vs. TOT Number of Shipped Wafers •By 2017, we estimate the ratio of THIN wafers vs. TOTAL number of wafers (in 300 mm eq.) to be 74%. •Compared to our 2011 forecasts, a larger growth in thin power devices and 3D stacking explain a larger increase than previously expected. CAGR 11% 14% 2011 2012 2013 2014 2015 2016 2017 Est 2011 ratio for thin wafers 34% 38% 41% 47% 53% 58% Est 2012 ratio for thin wafers 39% 43% 47% 53% 61% 69% 74% 0% 10% 20% 30% 40% 50% 60% 70% 80% Ratio Thinned Wafers/TOT IC Wafers (300 mm eq.) Thinned Wafers vs. TOTAL IC Wafers (300 mm eq.) 2011 vs. 2012 Forecast comparison
  • 7. © 2012• 7 Copyrights © Yole Développement SA. All rights reserved. 2011 TOP Thin Wafer Processors (> 10kWSPY)
  • 8. © 2012• 8 Copyrights © Yole Développement SA. All rights reserved. Why special thin wafer handling technologies? •New handling techniques for further wafer processing which must be performed on the backside of thin wafers (starting at 100μ thickness) are required such as temporary bonding/debonding technologies. •Actually, ginding below 50μm of residual thickness results in a great challenge for thinning and handling of ultra thin wafers in both front-end and back-end processes. Indeed, in this thickness range, the Si device wafer becomes fragile and flexible. •Today, temporary bonding technologies are numerous with no clear winning scenario coming out today. –We have identified at least 6 different technologies for temporary wafer bonding with carriers. –Each one of these approaches might have subtle differences in terms of chemistry, carriers… –Along with these approaches came temporary bonding without carrier and reconstituted wafer for Fan Out WLP. So, the total number of approaches is more than 10 as of today
  • 9. © 2012• 9 Copyrights © Yole Développement SA. All rights reserved. Thin wafer handling solutions Thin wafer handling With carrier Without carrier Temporary bonding/debonding Mobile Electro Static Wafer Adhesive tape Peripheral Ring •Disco (TAIKO process) •DoubleCheck •Semitool (AMAT) Carriers/Equipment •FhG/ProTec •ProTec/ProTec Equipment & materials •TOK •3M •Nitto denko •Lintec With intermediate layer Without intermediate layer Materials •3M •TOK •Brewer Science •Lintec •Nitta Haas •Nitto Denko •Promerus •Shin Etsu •JSR •Thin Materials AG Equipment •EVG •SUSS MicroTEC •TEL •TOK •3M •AML
  • 10. © 2012• 10 Copyrights © Yole Développement SA. All rights reserved. Who should buy •Foundries & chip manufacturers •Get an overview of the large panel of accessible temporary wafer bonding technologies •Spot the important temporary wafer bonding technologies in the future for your application •Temporary wafer bonder manufacturers •Identify and evaluate temporary bonding markets with market size, growth and key customers •Analyze the threads and opportunities •Monitor and benchmark your competitor’s advancements •Chemical companies •Identify and evaluate what the requirements for temporary bonding materials will be •Analyze the threads and opportunities •Financial & Strategic investors •Understand the main market dynamics and main technological trends •Get the list of the key players
  • 11. © 2012• 11 Copyrights © Yole Développement SA. All rights reserved. For More Information … Take a look at our websites www.yole.fr and www.i-micronews.com Online free registration to YOLE publications