SlideShare ist ein Scribd-Unternehmen logo
1 von 16
1
DEPARTMENT OF ELECTRONICS & COMMUNICATION
ENGINEERING
PONDICHERRY UNIVERSITY
SMART TRAFFIC LIGHT CONTROLLER USING
VERILOG
GUIDED BY
PROF. Dr. P. SAMUNDISWARI
Dept. Of Electronics Engineering
PRESENTED BY
BEULAH .A
VAISHALI .K
M.Tech (ECE)-Ist yr
2
CONTENTS
S. NO. TOPIC PAGE NO.
1 OBJECTIVE 3
2 INTRODUCTION 3
3 BLOCK DIAGRAM 4
4 WORKING PRINCIPLE 5
5 STATE DIAGRAM 6
6 CODE 7
7 TEST BENCH 10
8 SIMULATION OUTPUT 11-15
9 ADVANTAGES AND DISADVANTAGES 15 -16
10 CONCLUSION 16
11 REFERENCES 16
3
OBJECTIVE:
• The main aim of the project is to design a two way traffic light controller
using verilog.
• One with the help of timing mechanism and other by the help of detector or
sensor.
SOFTWARE USED:
• Xilinx 14.7
• Verilog: C like HDL is easier to comprehend and saves design time since the
syntax is more concise that VHDL
INTRODUCTION:
• In general, many traffic lights are operates on a timing mechanism that
changes the light after given time interval.
• The traffic light system consists of three important parts, in that traffic light
controller is first one, because of it represent brain of the traffic system.
• The second part is the signal visualization or in simple words is signal face.
• The third part is the detector or sensor.
TRAFFIC LIGHTS:
• An intelligent traffic light system senses the presence or absence of vehicles
and reacts accordingly.
• The idea behind intelligent traffic systems is that drivers will not spend
unnecessary time waiting for the traffic lights to change.
• In the traffic light control system, the main controller, control circuit,
counter, timer, decoder, clock signal generator, decoder drive circuit and
digital display decoder drive circuit are needed to complete the whole
process of controlling the traffic light.
4
BLOCK DIAGRAM:
EXPANDED RTL VIEW:
5
WORKING PRINCIPLE;
• The main road's lights are always green for the major traffic to pass.
• The main road's lights turn red only when there is a car on either side of the
side road for a period of time.
• When detector detect the vehicles, only then the lights on the side road turn
from red->green while the main road's lights turn from green->yellow->red.
• After the given interval of time, lights on the main road turns to red-
>yellow->green and the side road turns from green->red.
• In the timer part, there are three things one is the short time pulse (TS) and
6
the long time pulse (TL) and the last is a response to start the timer (ST)
signal.
STATE DIAGRAM:
• The main road will be green until no cars are found and it remains in state
S0.
• When long time expires and cars found the transition from S0 to S1 takes
place.
• When the short time interval expires it transit from S1 to S2.
• When the long time interval doesn't expire and cars are detected it will in the
state S2.
• When the long time expires and no more cars detected it transit from S2 to
S3.
• When the short interval expires it transit from S3 to S0 and the cycle
continues.
7
CODE:
MAIN MODULE:
`timescale 1ns / 1ps
module main(
output MR,
output MY,
output MG,
output SR,
output SY,
output SG,
input reset,
input C,
input Clk
);
timer part1(TS, TL, ST, Clk);
fsm part2(MR, MY, MG, SR, SY, SG, ST, TS, TL, C, reset, Clk);
endmodule
TIMER MODULE:
`timescale 1ns / 1ps
module timer(
output TS,
output TL,
input ST,
input Clk
);
integer value;
8
assign TS=(value>=4);
assign TL=(value>=14);
always@(posedge ST or posedge Clk)
begin
if(ST==1)begin
value=0;
end
else begin
value=value+1;
end
end
endmodule
FSM MODULE:
`timescale 1ns / 1ps
module fsm(
output MR,
output MY,
output MG,
output SR,
output SY,
output SG,
output ST,
input TS,
input TL,
input C,
input reset,
9
input Clk
);
reg [6:1] state;
reg ST;
parameter mainroadgreen= 6'b001100;
parameter mainroadyellow= 6'b010100;
parameter sideroadgreen= 6'b100001;
parameter sideroadyellow= 6'b100010;
assign MR = state[6];
assign MY = state[5];
assign MG = state[4];
assign SR = state[3];
assign SY = state[2];
assign SG = state[1];
initial begin state = mainroadgreen; ST = 0; end
always @(posedge Clk)
begin
if (reset)
begin state = mainroadgreen; ST = 1; end
else
begin
ST = 0;
case (state)
mainroadgreen:
if (TL & C) begin state = mainroadyellow; ST = 1; end
mainroadyellow:
10
if (TS) begin state = sideroadgreen; ST = 1; end
sideroadgreen:
if (TL | !C) begin state = sideroadyellow; ST = 1; end
sideroadyellow:
if (TS) begin state = mainroadgreen; ST = 1; end
endcase
end
end
endmodule
TEST BENCH:
`timescale 1ns / 1ps
module fsm_test;
// Inputs
reg TS; reg TL; reg C;reg reset; reg Clk;
// Outputs
wire MR; wire MY; wire MG; wire SR; wire SY; wire SG;
wire ST;
// Instantiate the Unit Under Test (UUT)
fsm uut (
.MR(MR), .MY(MY), .MG(MG), .SR(SR), .SY(SY), .SG(SG), .ST(ST),
.TS(TS), .TL(TL), .C(C),
.reset(reset), .Clk(Clk)
);
initial begin
// Initialize Inputs
TS = 0;TL = 0;C = 0;reset = 1;
11
Clk = 0;
#100; TS=0;TL=1;C=1;reset=0;
#100; TS=0;TL=0;C=0;reset=1;
#100; TS=1;TL=1;C=0;reset=0;
#100;
end
always
begin
#100
Clk=~Clk;
end
endmodule
SIMULATION OUTPUT:
12
13
DEVICE UTILIZATION SUMMARY:
14
HDL SYNTHESIS REPORT:
15
ADVANTAGES:
• Traffic signals help for movement of traffic securely without any collision.
• The drivers will not spend unnecessary time waiting for the traffic lights to
change.
• Traffic control by signals is accurate and economical as compared to traffic
police control.
16
DISADVANTAGES :
• During signals breakdown, there are serious and wide-spread traffic
difficulties during peak hours.
CONCLUSION;
• In this project we introduced detector based technology for traffic control.
• We conclude that it provides powerful solution to improve existing system
with the new smart traffic light controller.
FUTURE SCOPE :
• Blinking of lights according to the traffic level present in the road can be
added.
• Managing traffic when any emergency vehicle come can also be introduced.
For example ambulance.
REFERENCES:
• Ali Qureshi .M, Abdul Aziz, “A Verilog Model of Traffic Control System
using Mealy State Machines” in DOI:10.7763/IJCEE.2012.V4.521
• Swetha Reddy.K, Shabarinath .B.B, “timing and synchronization for explicit
FSM based traffic light controller”, Published 2019
• Owmya .B, ”An Advanced Traffic Light Controller using Verilog HDL”,
Published 2017

Weitere ähnliche Inhalte

Was ist angesagt?

vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training pptBhagwan Lal Teli
 
Coherent and Non-coherent detection of ASK, FSK AND QASK
Coherent and Non-coherent detection of ASK, FSK AND QASKCoherent and Non-coherent detection of ASK, FSK AND QASK
Coherent and Non-coherent detection of ASK, FSK AND QASKnaimish12
 
vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015E2MATRIX
 
Flipflops and Excitation tables of flipflops
Flipflops and Excitation tables of flipflopsFlipflops and Excitation tables of flipflops
Flipflops and Excitation tables of flipflopsstudent
 
Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDLanand hd
 
Pic microcontroller architecture
Pic microcontroller architecturePic microcontroller architecture
Pic microcontroller architectureDominicHendry
 
MOSFET and Short channel effects
MOSFET and Short channel effectsMOSFET and Short channel effects
MOSFET and Short channel effectsLee Rather
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applicationselprocus
 
Verilog full adder in dataflow & gate level modelling style.
Verilog full adder in dataflow  & gate level modelling style.Verilog full adder in dataflow  & gate level modelling style.
Verilog full adder in dataflow & gate level modelling style.Omkar Rane
 
Clock divider by 3
Clock divider by 3Clock divider by 3
Clock divider by 3Ashok Reddy
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing TechniquesA B Shinde
 

Was ist angesagt? (20)

vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Verilog Tasks and functions
Verilog Tasks and functionsVerilog Tasks and functions
Verilog Tasks and functions
 
Coherent and Non-coherent detection of ASK, FSK AND QASK
Coherent and Non-coherent detection of ASK, FSK AND QASKCoherent and Non-coherent detection of ASK, FSK AND QASK
Coherent and Non-coherent detection of ASK, FSK AND QASK
 
vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015
 
Flipflops and Excitation tables of flipflops
Flipflops and Excitation tables of flipflopsFlipflops and Excitation tables of flipflops
Flipflops and Excitation tables of flipflops
 
Data types in verilog
Data types in verilogData types in verilog
Data types in verilog
 
Switch level modeling
Switch level modelingSwitch level modeling
Switch level modeling
 
Pass transistor logic
Pass transistor logicPass transistor logic
Pass transistor logic
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Short Channel Effect In MOSFET
Short Channel Effect In MOSFETShort Channel Effect In MOSFET
Short Channel Effect In MOSFET
 
Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDL
 
Pic microcontroller architecture
Pic microcontroller architecturePic microcontroller architecture
Pic microcontroller architecture
 
MOSFET and Short channel effects
MOSFET and Short channel effectsMOSFET and Short channel effects
MOSFET and Short channel effects
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
 
Interfacing LCD with 8051 Microcontroller
Interfacing LCD with 8051 MicrocontrollerInterfacing LCD with 8051 Microcontroller
Interfacing LCD with 8051 Microcontroller
 
Flipflop
FlipflopFlipflop
Flipflop
 
Verilog full adder in dataflow & gate level modelling style.
Verilog full adder in dataflow  & gate level modelling style.Verilog full adder in dataflow  & gate level modelling style.
Verilog full adder in dataflow & gate level modelling style.
 
Clock divider by 3
Clock divider by 3Clock divider by 3
Clock divider by 3
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
 

Ähnlich wie Smart traffic light controller using verilog

INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKINTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKSantanu Chatterjee
 
Timing notes 2006
Timing notes 2006Timing notes 2006
Timing notes 2006pavan kumar
 
Digital Alarm Clock (IC-TMS-8560)
Digital Alarm Clock (IC-TMS-8560)Digital Alarm Clock (IC-TMS-8560)
Digital Alarm Clock (IC-TMS-8560)Chintan Patel
 
Get Programmable digital timer | Programmable timer switch | Cyclic Timer- GI...
Get Programmable digital timer | Programmable timer switch | Cyclic Timer- GI...Get Programmable digital timer | Programmable timer switch | Cyclic Timer- GI...
Get Programmable digital timer | Programmable timer switch | Cyclic Timer- GI...PrasadPurohit1988
 
432112393-Manual-Injecao-Mercedes-Bens-Detroit.pdf
432112393-Manual-Injecao-Mercedes-Bens-Detroit.pdf432112393-Manual-Injecao-Mercedes-Bens-Detroit.pdf
432112393-Manual-Injecao-Mercedes-Bens-Detroit.pdfJeferson872537
 
MODULE TITLE PROGRAMMABLE LOGIC CONTROLLERSTOPIC TITLE.docx
MODULE TITLE    PROGRAMMABLE LOGIC CONTROLLERSTOPIC TITLE.docxMODULE TITLE    PROGRAMMABLE LOGIC CONTROLLERSTOPIC TITLE.docx
MODULE TITLE PROGRAMMABLE LOGIC CONTROLLERSTOPIC TITLE.docxroushhsiu
 
ppt of Three phase fault analysis with auto reset for temporary fault and tri...
ppt of Three phase fault analysis with auto reset for temporary fault and tri...ppt of Three phase fault analysis with auto reset for temporary fault and tri...
ppt of Three phase fault analysis with auto reset for temporary fault and tri...Vikram Rawani
 
Introduction to automation
Introduction to automationIntroduction to automation
Introduction to automationValai Ganesh
 
A multi phase decision on reliability growth with latent failure modes
A multi phase decision on reliability growth with latent failure modesA multi phase decision on reliability growth with latent failure modes
A multi phase decision on reliability growth with latent failure modesASQ Reliability Division
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPVLSICS Design
 
Traffic Light Controller using 8255
Traffic Light Controller using 8255Traffic Light Controller using 8255
Traffic Light Controller using 8255Amit Kumer Podder
 
Digital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential CircuitsDigital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential CircuitsIndira Priyadarshini
 

Ähnlich wie Smart traffic light controller using verilog (20)

Digital timer
Digital timerDigital timer
Digital timer
 
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKINTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
 
Timing notes 2006
Timing notes 2006Timing notes 2006
Timing notes 2006
 
GIC India catalogue
GIC India catalogueGIC India catalogue
GIC India catalogue
 
Lecture 2 timers, pwm, state machine IN PIC
Lecture 2   timers, pwm, state machine IN PIC Lecture 2   timers, pwm, state machine IN PIC
Lecture 2 timers, pwm, state machine IN PIC
 
Digital Alarm Clock (IC-TMS-8560)
Digital Alarm Clock (IC-TMS-8560)Digital Alarm Clock (IC-TMS-8560)
Digital Alarm Clock (IC-TMS-8560)
 
ANALOG AND DIGITAL ELECTRONICS unit 5
ANALOG AND DIGITAL ELECTRONICS unit 5ANALOG AND DIGITAL ELECTRONICS unit 5
ANALOG AND DIGITAL ELECTRONICS unit 5
 
Get Programmable digital timer | Programmable timer switch | Cyclic Timer- GI...
Get Programmable digital timer | Programmable timer switch | Cyclic Timer- GI...Get Programmable digital timer | Programmable timer switch | Cyclic Timer- GI...
Get Programmable digital timer | Programmable timer switch | Cyclic Timer- GI...
 
432112393-Manual-Injecao-Mercedes-Bens-Detroit.pdf
432112393-Manual-Injecao-Mercedes-Bens-Detroit.pdf432112393-Manual-Injecao-Mercedes-Bens-Detroit.pdf
432112393-Manual-Injecao-Mercedes-Bens-Detroit.pdf
 
MODULE TITLE PROGRAMMABLE LOGIC CONTROLLERSTOPIC TITLE.docx
MODULE TITLE    PROGRAMMABLE LOGIC CONTROLLERSTOPIC TITLE.docxMODULE TITLE    PROGRAMMABLE LOGIC CONTROLLERSTOPIC TITLE.docx
MODULE TITLE PROGRAMMABLE LOGIC CONTROLLERSTOPIC TITLE.docx
 
8051 ch9-950217
8051 ch9-9502178051 ch9-950217
8051 ch9-950217
 
ppt of Three phase fault analysis with auto reset for temporary fault and tri...
ppt of Three phase fault analysis with auto reset for temporary fault and tri...ppt of Three phase fault analysis with auto reset for temporary fault and tri...
ppt of Three phase fault analysis with auto reset for temporary fault and tri...
 
Introduction to automation
Introduction to automationIntroduction to automation
Introduction to automation
 
A multi phase decision on reliability growth with latent failure modes
A multi phase decision on reliability growth with latent failure modesA multi phase decision on reliability growth with latent failure modes
A multi phase decision on reliability growth with latent failure modes
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
 
Traffic Light Controller using 8255
Traffic Light Controller using 8255Traffic Light Controller using 8255
Traffic Light Controller using 8255
 
Digital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential CircuitsDigital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential Circuits
 
Unit-III.pptx
Unit-III.pptxUnit-III.pptx
Unit-III.pptx
 
Servo 2.0
Servo 2.0Servo 2.0
Servo 2.0
 
chapter 4
chapter 4chapter 4
chapter 4
 

Mehr von VaishaliVaishali14

Simulation of speech recognition using correlation method on matlab software
Simulation of speech recognition using correlation method on matlab softwareSimulation of speech recognition using correlation method on matlab software
Simulation of speech recognition using correlation method on matlab softwareVaishaliVaishali14
 
SIMULATION OF AN ELECTRONIC DICE CIRCUIT USING LEDs IN PROTEUS SOFTWARE
SIMULATION OF AN ELECTRONIC DICE CIRCUIT USING LEDs IN PROTEUS SOFTWARE SIMULATION OF AN ELECTRONIC DICE CIRCUIT USING LEDs IN PROTEUS SOFTWARE
SIMULATION OF AN ELECTRONIC DICE CIRCUIT USING LEDs IN PROTEUS SOFTWARE VaishaliVaishali14
 
PERFORMANCE ANALYSIS OF 2*2 MIMO CHANNEL USING ZF EQUALIZER
PERFORMANCE ANALYSIS OF 2*2 MIMO CHANNEL USING ZF EQUALIZER PERFORMANCE ANALYSIS OF 2*2 MIMO CHANNEL USING ZF EQUALIZER
PERFORMANCE ANALYSIS OF 2*2 MIMO CHANNEL USING ZF EQUALIZER VaishaliVaishali14
 
Linear equalizations and its variations
Linear equalizations and its variationsLinear equalizations and its variations
Linear equalizations and its variationsVaishaliVaishali14
 
Simulation of handoff performance using matlab
Simulation of handoff performance using matlabSimulation of handoff performance using matlab
Simulation of handoff performance using matlabVaishaliVaishali14
 

Mehr von VaishaliVaishali14 (8)

Simulation of speech recognition using correlation method on matlab software
Simulation of speech recognition using correlation method on matlab softwareSimulation of speech recognition using correlation method on matlab software
Simulation of speech recognition using correlation method on matlab software
 
SIMULATION OF AN ELECTRONIC DICE CIRCUIT USING LEDs IN PROTEUS SOFTWARE
SIMULATION OF AN ELECTRONIC DICE CIRCUIT USING LEDs IN PROTEUS SOFTWARE SIMULATION OF AN ELECTRONIC DICE CIRCUIT USING LEDs IN PROTEUS SOFTWARE
SIMULATION OF AN ELECTRONIC DICE CIRCUIT USING LEDs IN PROTEUS SOFTWARE
 
PERFORMANCE ANALYSIS OF 2*2 MIMO CHANNEL USING ZF EQUALIZER
PERFORMANCE ANALYSIS OF 2*2 MIMO CHANNEL USING ZF EQUALIZER PERFORMANCE ANALYSIS OF 2*2 MIMO CHANNEL USING ZF EQUALIZER
PERFORMANCE ANALYSIS OF 2*2 MIMO CHANNEL USING ZF EQUALIZER
 
LINE OF SIGHT PROPAGATION
LINE OF SIGHT PROPAGATIONLINE OF SIGHT PROPAGATION
LINE OF SIGHT PROPAGATION
 
Linear equalizations and its variations
Linear equalizations and its variationsLinear equalizations and its variations
Linear equalizations and its variations
 
Optical heterodyne detection
Optical heterodyne detectionOptical heterodyne detection
Optical heterodyne detection
 
Simulation of handoff performance using matlab
Simulation of handoff performance using matlabSimulation of handoff performance using matlab
Simulation of handoff performance using matlab
 
CMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURESCMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURES
 

Kürzlich hochgeladen

CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTbhaskargani46
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXssuser89054b
 
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoorTop Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoordharasingh5698
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...tanu pandey
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Bookingroncy bisnoi
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueBhangaleSonal
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdfKamal Acharya
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptNANDHAKUMARA10
 
chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringmulugeta48
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdfKamal Acharya
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night StandCall Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Standamitlee9823
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfKamal Acharya
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...SUHANI PANDEY
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 

Kürzlich hochgeladen (20)

(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoorTop Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineering
 
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night StandCall Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 

Smart traffic light controller using verilog

  • 1. 1 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING PONDICHERRY UNIVERSITY SMART TRAFFIC LIGHT CONTROLLER USING VERILOG GUIDED BY PROF. Dr. P. SAMUNDISWARI Dept. Of Electronics Engineering PRESENTED BY BEULAH .A VAISHALI .K M.Tech (ECE)-Ist yr
  • 2. 2 CONTENTS S. NO. TOPIC PAGE NO. 1 OBJECTIVE 3 2 INTRODUCTION 3 3 BLOCK DIAGRAM 4 4 WORKING PRINCIPLE 5 5 STATE DIAGRAM 6 6 CODE 7 7 TEST BENCH 10 8 SIMULATION OUTPUT 11-15 9 ADVANTAGES AND DISADVANTAGES 15 -16 10 CONCLUSION 16 11 REFERENCES 16
  • 3. 3 OBJECTIVE: • The main aim of the project is to design a two way traffic light controller using verilog. • One with the help of timing mechanism and other by the help of detector or sensor. SOFTWARE USED: • Xilinx 14.7 • Verilog: C like HDL is easier to comprehend and saves design time since the syntax is more concise that VHDL INTRODUCTION: • In general, many traffic lights are operates on a timing mechanism that changes the light after given time interval. • The traffic light system consists of three important parts, in that traffic light controller is first one, because of it represent brain of the traffic system. • The second part is the signal visualization or in simple words is signal face. • The third part is the detector or sensor. TRAFFIC LIGHTS: • An intelligent traffic light system senses the presence or absence of vehicles and reacts accordingly. • The idea behind intelligent traffic systems is that drivers will not spend unnecessary time waiting for the traffic lights to change. • In the traffic light control system, the main controller, control circuit, counter, timer, decoder, clock signal generator, decoder drive circuit and digital display decoder drive circuit are needed to complete the whole process of controlling the traffic light.
  • 5. 5 WORKING PRINCIPLE; • The main road's lights are always green for the major traffic to pass. • The main road's lights turn red only when there is a car on either side of the side road for a period of time. • When detector detect the vehicles, only then the lights on the side road turn from red->green while the main road's lights turn from green->yellow->red. • After the given interval of time, lights on the main road turns to red- >yellow->green and the side road turns from green->red. • In the timer part, there are three things one is the short time pulse (TS) and
  • 6. 6 the long time pulse (TL) and the last is a response to start the timer (ST) signal. STATE DIAGRAM: • The main road will be green until no cars are found and it remains in state S0. • When long time expires and cars found the transition from S0 to S1 takes place. • When the short time interval expires it transit from S1 to S2. • When the long time interval doesn't expire and cars are detected it will in the state S2. • When the long time expires and no more cars detected it transit from S2 to S3. • When the short interval expires it transit from S3 to S0 and the cycle continues.
  • 7. 7 CODE: MAIN MODULE: `timescale 1ns / 1ps module main( output MR, output MY, output MG, output SR, output SY, output SG, input reset, input C, input Clk ); timer part1(TS, TL, ST, Clk); fsm part2(MR, MY, MG, SR, SY, SG, ST, TS, TL, C, reset, Clk); endmodule TIMER MODULE: `timescale 1ns / 1ps module timer( output TS, output TL, input ST, input Clk ); integer value;
  • 8. 8 assign TS=(value>=4); assign TL=(value>=14); always@(posedge ST or posedge Clk) begin if(ST==1)begin value=0; end else begin value=value+1; end end endmodule FSM MODULE: `timescale 1ns / 1ps module fsm( output MR, output MY, output MG, output SR, output SY, output SG, output ST, input TS, input TL, input C, input reset,
  • 9. 9 input Clk ); reg [6:1] state; reg ST; parameter mainroadgreen= 6'b001100; parameter mainroadyellow= 6'b010100; parameter sideroadgreen= 6'b100001; parameter sideroadyellow= 6'b100010; assign MR = state[6]; assign MY = state[5]; assign MG = state[4]; assign SR = state[3]; assign SY = state[2]; assign SG = state[1]; initial begin state = mainroadgreen; ST = 0; end always @(posedge Clk) begin if (reset) begin state = mainroadgreen; ST = 1; end else begin ST = 0; case (state) mainroadgreen: if (TL & C) begin state = mainroadyellow; ST = 1; end mainroadyellow:
  • 10. 10 if (TS) begin state = sideroadgreen; ST = 1; end sideroadgreen: if (TL | !C) begin state = sideroadyellow; ST = 1; end sideroadyellow: if (TS) begin state = mainroadgreen; ST = 1; end endcase end end endmodule TEST BENCH: `timescale 1ns / 1ps module fsm_test; // Inputs reg TS; reg TL; reg C;reg reset; reg Clk; // Outputs wire MR; wire MY; wire MG; wire SR; wire SY; wire SG; wire ST; // Instantiate the Unit Under Test (UUT) fsm uut ( .MR(MR), .MY(MY), .MG(MG), .SR(SR), .SY(SY), .SG(SG), .ST(ST), .TS(TS), .TL(TL), .C(C), .reset(reset), .Clk(Clk) ); initial begin // Initialize Inputs TS = 0;TL = 0;C = 0;reset = 1;
  • 11. 11 Clk = 0; #100; TS=0;TL=1;C=1;reset=0; #100; TS=0;TL=0;C=0;reset=1; #100; TS=1;TL=1;C=0;reset=0; #100; end always begin #100 Clk=~Clk; end endmodule SIMULATION OUTPUT:
  • 12. 12
  • 15. 15 ADVANTAGES: • Traffic signals help for movement of traffic securely without any collision. • The drivers will not spend unnecessary time waiting for the traffic lights to change. • Traffic control by signals is accurate and economical as compared to traffic police control.
  • 16. 16 DISADVANTAGES : • During signals breakdown, there are serious and wide-spread traffic difficulties during peak hours. CONCLUSION; • In this project we introduced detector based technology for traffic control. • We conclude that it provides powerful solution to improve existing system with the new smart traffic light controller. FUTURE SCOPE : • Blinking of lights according to the traffic level present in the road can be added. • Managing traffic when any emergency vehicle come can also be introduced. For example ambulance. REFERENCES: • Ali Qureshi .M, Abdul Aziz, “A Verilog Model of Traffic Control System using Mealy State Machines” in DOI:10.7763/IJCEE.2012.V4.521 • Swetha Reddy.K, Shabarinath .B.B, “timing and synchronization for explicit FSM based traffic light controller”, Published 2019 • Owmya .B, ”An Advanced Traffic Light Controller using Verilog HDL”, Published 2017