SlideShare ist ein Scribd-Unternehmen logo
1 von 29
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 1
Xilinx SDSoC for Acceleration
of Real-time Video Processing
on ‘COTS’ ZYNQ Modules.
HiPEAC EMC2 Workshop – 2016-01-20
Jiří Kadlec, Zdeněk Pohl, Lukáš Kohout
(UTIA AV ČR v.v.i. - Prague, Czech Republic)
Flemming Christensen, Mark Honman,
(Sundance - Chesham, United Kingdom)
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 2
Introduction and Content
• Introduction to the Xilinx SDSoC Environment
• UTIA SDSoC 2015.4 HW Platform for EMC2-DP
• HDMI-in – HDMI-out Demos
• VITA Video Sensor-in HDMI-out Demos,
• Data movers and HLS blocks
• Sundance’s EMC2 Development Platform
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 3
EMC2 - System on Module
40mm x 50mm
Xilinx SoC or FPGAs
Zynq, Artix-7 or Kintex-7
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 4
EMC2 - System on Module
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 5
EMC2 - Devlopment Platform
‚PC/104 Stackable Board‘
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 6
EMC2 - Development Platform
‚PC/104 Stackable Board‘ - IO Module
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 7
EMC2 - Development Platform
‚PC/104 Multiprocessing Stack‘
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 8
EMC2 - Development Platform
‚PC/104 Multiprocessing Stack‘
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 9
EMC2 - Development Platform
‚PC/104 I/O FMC Expansion Module‘
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 10
EMC2 - Development Platform
‚PC/104 I/O FMC Expansion Module‘
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 11
EMC2 Video Processing Demo
Xilinx SDSoC Environment
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 12
EMC2 Video Processing Demo
Xilinx SDSoC Environment
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 13
All Programmable SoCs Development Flow
APP(){
funcA();
funcB();
funcC();}
HW-SW partition?
funcA funcB, funcCPS PL
HW-SW Connectivity?
funcAPS funcB, funcC PL
Datamover
PS-PL interfaces
SW drivers
Exploreoptimalarchitecture
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 14
Without SDSoC:
HW-SW Partition Exploration
PL
PS
ApplicationSDKC/C++
DriverSDK, OS ToolsC
IP IntegratorIPI project
Datamover
PS-PL interface
IPVivadoHLS
Verilog, VHDL
HW-SW partition
spec
Met
Req
?
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 15
With SDSoC:
Automatic System Generation
C/C++
Select functions
for PL
PL
PS
IP
Application
Driver
SDSoC
Datamover
PS-PL interface
Met
Req
?
func1_sw();
func2_hw();
func3_hw();
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 16
Base HW Platform for SDSoC
Application
Driver
Interface
IPs
Interface
IPs
Application
Driver
AMBA Bus
Platform
Processing Systems (PS)
Programmable Logic (PL)
• Custom platform = Vivado project + Bootable software image
• Available for commonly used development kit and SoMs
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 17
Complete SDSoC flow
Application
Driver
Interface
IPs
Interface
IPs
Application
Driver
AMBA Bus
C/C++ Application
Application
Driver
IP IP IP IP
Connectivity
Generated
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 18
SDSoC Example: Matrix Multiply +Add
main(){
malloc(A,B,C);
mmult(A,B,D);
madd(C,D,E);
printf(E);
}
madd(inA,inB,out){
}
HDL IPC-callable IP
mmult(inA,inB,out){
}
HLS C/C++
A,B datamovers
AMBA Bus
Platform
Application
Driver
mmult madd
Generated
D
A B C E
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 19
EMC2 – DP w. HDMI In/Out FMC Module
runnung SDSoC 2015.4 environment
Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Platform: c:S54emc15_1c_hdmiihdmii-hdmio
ARMA9&DDR3Parametersplatform
Xilinx SDSoC Environment 2015.4 Date: 2016_01_02
hdmii
VFB VFB
hdmiofmc_imageon_
hdmi_in_0
v_video_in_
axi4s_0
axi_vdma_0 axi_interconnect
HP0
axi_interconnect axi_vdma_0
v_axi4s_vid_out_0
fmc_imageon_
hdmi_out_0
HP0
v_tc_0
60
0 20 40 60
EMC2-DP-V1 15-03-1c: FPS
SW 27
0 50 100
EMC2-DP-V1 15-03-1c: Slices [%]
SW 12.63
0 50 100
EMC2-DP-V1 15-03-1c: brams [%]
SW
666 MHz ARM A9
SW synchronization of VFBs
Space for SW version of algorithms
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 20
Sobel Filter Full HD Pf: HDMI In/Out
SW and HW flow in Xilinx SDSoC 2015.4
Carrier: EMC2-DP
Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: so01 Demo_HW so02 Platform: c:S54emc15_1c_hdmiihdmii-hdmio
ARMA9&DDR3Parametersplatform
Xilinx SDSoC Environment 2015.4 Date: 2016_01_02
hdmii
VFB
ycbcr2y_pad sobel_filter y2gray_pad
VFB
hdmio
ycbcr2y_pad sobel_filter y2gray_pad
120 MHz HW path/DMA 150 MHz VDMA
666 MHz ARM A9
37.33
5.34
0 20 40 60
EMC2-DP-V1 15-03-1c: FPS
SW
HW 53
27
0 50 100
EMC2-DP-V1 15-03-1c: Slices [%]
SW
HW 30
12.63
0 50 100
EMC2-DP-V1 15-03-1c: BRAMs[%]
SW
HW
148,5 MHz HDMI In 148,5 MHz HDMI Out
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 21
Sobel Filter Full HD Pf: HDMI In/Out
Process only upper 50% of frames
Single computing data path in HW
Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: so03 Demo_HW so04 Platform: c:S54emc15_1c_hdmiihdmii-hdmio
ARMA9&DDR3Parametersplatform
Xilinx SDSoC Environment 2015.4 Date: 2016_01_02
hdmii
VFB
ycbcr2y_pad sobel_filter y2gray_pad
VFB
hdmio
ycbcr2y_pad sobel_filter y2gray_pad
150,0 MHz VDMA
666 MHz ARM A9
150 MHz HW path/DMA
60
10.66
0 20 40 60
EMC2-DP-V1 15-03-1c: FPS
SW
HW 53
27
0 50 100
EMC2-DP-V1 15-03-1c: Slices [%]
SW
HW 30
12.63
0 50 100
EMC2-DP-V1 15-03-1c: BRAMs[%]
SW
HW
148,5 MHz HDMI In 148,5 MHz HDMI Out
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 22
Sobel filter in Full HD Pf: HDMI In/Out
Process upper and lower part of frames
Two parallel computing data paths in HW
Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: so05 Demo_HW so06 Platform: c:S54emc15_1c_hdmiihdmii-hdmio
ARMA9&DDR3Parametersplatform
Xilinx SDSoC Environment 2015.4 Date: 2016_01_02
hdmii
VFB
ycbcr2y_pad1 sobel_filter1 y2gray_pad1
VFB
hdmio
ycbcr2y_pad1 sobel_filter1 y2gray_pad1
ycncr2y_pad2 sobel_filter2 y2gray_pad2
ycbcr2y_pad2 sobel_filter2 y2gray_pad2
56.95
5.33
0 20 40 60
EMC2-DP-V1 15-03-1c: FPS
SW
HW 74.5
27
0 50 100
EMC2-DP-V1 15-03-1c: Slices[%]
SW
HW 47.37
12.63
0 50 100
EMC2-DP-V1 15-03-1c: BRAMs[%]
SW
HW
Two 120 MHz HW data paths/DMA
148,5 MHz 148,5 MHz HDMI Out
150,0 MHz VDMA
666 MHz ARM A9
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 23
Sobel filter in Full HD Pf: HDMI In/Out
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 24
Motion Detection in Full HD Pf: HDMI In/Out
Process complete frame
Single computing data path in HW
Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: md01 Demo_HW md02 Platform: c:S54emc15_1c_hdmiihdmii-hdmio
ARMA9&DDR3Parametersplatform
Xilinx SDSoC Environment 2015.4 Date: 2016_01_02
hdmii
pad sobel_filter
diff_image
VFB
hdmio
pad
diff_image
pad sobel_filter_pass
pad
sobel_filter
sobel_filter_pass
median_char_filter_pass combo_image ext
VFB
median_char_filter_pass combo_image ext
148,5 MHz HDMI In 148,5 MHz HDMI Out120 MHz HW data path/DMA
32.5
1.18
0 20 40 60
EMC2-DP-V1 15-03-1c: FPS
SW
HW 75.27
27
0 50 100
EMC2-DP-V1 15-03-1c: Slices [%]
SW
HW 60.53
12.63
0 50 100
EMC2-DP-V1 15-03-1c: BRAMs[%]
SW
HW
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 25
Motion Detection Full HD Pf: HDMI In/Out
SW and HW flow in Xilinx SDSoC 2015.4
Carrier: EMC2-DP
Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: md03 Demo_HW md04 Platform: c:S54emc15_1c_hdmiihdmii-hdmio
ARMA9&DDR3Parametersplatform
Xilinx SDSoC Environment 2015.4 Date: 2016_01_02
hdmii
pad sobel_filter
diff_image
VFB
hdmio
pad
diff_image
pad sobel_filter_pass
pad
sobel_filter
sobel_filter_pass
median_char_filter_pass combo_image ext
VFB
median_char_filter_pass combo_image ext
60
2.37
0 20 40 60
EMC2-DP-V1 15-03-1c: FPS
SW
HW 75.27
27
0 50 100
EMC2-DP-V1 15-03-1c: Slices [%]
SW
HW 60.53
12.63
0 50 100
EMC2-DP-V1 15-03-1c: BRAMs[%]
SW
HW
148,5 MHz HDMI In 148,5 MHz HDMI Out120 MHz HW data path/DMA
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 26
EMC2-DP with Vita Camera In/HDMI Out
using SDSoC 2015.4 environment
666 MHz ARM A9
SW synchronization of VFBs
Space for SW version of algorithms
Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Platform: c:S54emc15_1c_vitavita-hdmio
ARMA9&DDR3Parametersplatform
Xilinx SDSoC Environment 2015.4 Date: 2016_01_02
vita 2000
sensor
VFB VFB
hdmiofmc_imageon_
vita_receiver_0
v_video_in_
axi4s_0
v_cfa_0 axi_interconnect
HP0
axi_interconnect axi_vdma_0
v_axi4s_vid_out_0
fmc_imageon_
hdmi_out_0
HP0
v_tc_0
v_rgb2ycrcb axi_vdmav_cresample_0
60
0 20 40 60
EMC2-DP-V1 15-03-1c: FPS
SW 54.54
0 50 100
EMC2-DP-V1 15-03-1c: Slices [%]
SW 30.53
0 50 100
EMC2-DP-V1 15-03-1c: BRAMs[%]
SW
148,5 MHz HDMI Out
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 27
Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Platform: c:S54emc15_1c_vitavita-hdmio
ARMA9&DDR3Parametersplatform
Xilinx SDSoC Environment 2015.4 Date: 2016_01_02
Vita 2000
VFB
ycbcr2y_pad1 sobel_filter1 y2gray_pad1
VFB
hdmio
ycbcr2y_pad1 sobel_filter1 y2gray_pad1
ycncr2y_pad2 sobel_filter2 y2gray_pad2
ycbcr2y_pad2 sobel_filter2 y2gray_pad2
56.95
5.36
0 20 40 60
EMC2-DP-V1 15-03-1c: FPS
SW
HW 92.69
54.54
0 50 100
EMC2-DP-V1 15-03-1c: Slices [%]
SW
HW 65.26
30.53
0 50 100
EMC2-DP-V1 15-03-1c: BRAMs[%]
SW
HW
120 MHz HW data path/DMA
148,5 MHz HDMI Out
Sobel filter in Full HD Pf: vita-hdmio
Process upper and lower part of frames
Two parallel computing data paths in HW
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 28
Sobel filter in Full HD Pf: Vita In/HDMI Out
Process upper and lower part of frames
Two parallel computing data paths in HW
Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Platform: c:S54emc15_1c_vitavita-hdmio
ARMA9&DDR3platform
Xilinx SDSoC Environment 2015.4 Date: 2016_01_02
vita 2000
sensor
VFB VFB
hdmio
dm_0
HP0 HP0
ycbcr2y_pad1_0_if
ycbcr2y_pad1_0
(Vivado HLS)
sobel_filter1_0_if
sobel_filter1_0
(Vivado HLS)
y2gray_pad1_0_if
y2gray_pad1_0
(Vivado HLS)
dm_2
dm_1 ycbcr2y_pad2_0_if
ycbcr2y_pad2_0
(Vivado HLS)
sobel_filter2_0_if
sobel_filter2_0
(Vivado HLS)
y2gray_pad2_0_if
y2gray_pad2_0
(Vivado HLS)
dm_3
ycbcr2y_pad1 sobel_filter1 y2gray_pad1
ycncr2y_pad2 sobel_filter2 y2gray_pad2
[emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 29
Conclusions
Compile times in SDSoC (average laptop figures):
• SW: 1 min
• HW (Vivado IP Integrator System): 3 min
• HW (to bitstream and SD card BOOT.BIN): 60 min
• SDK (final SW project with HW accelerator): 1 min
Licensing:
• Xilinx is selling license of SDSoC
(it is for all ZYNQ devices with Vivado and HLS)
• SDK (final SW project with HW accelerator): free
Acknowledgement: UTIA and Sundance are partially supported by the Artemis EMC2 project.

Weitere ähnliche Inhalte

Was ist angesagt?

RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V International
 
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...RISC-V International
 
MIPI DevCon 2016: Implementing MIPI C-PHY
MIPI DevCon 2016: Implementing MIPI C-PHYMIPI DevCon 2016: Implementing MIPI C-PHY
MIPI DevCon 2016: Implementing MIPI C-PHYMIPI Alliance
 
Getting started with RISC-V verification what's next after compliance testing
Getting started with RISC-V verification what's next after compliance testingGetting started with RISC-V verification what's next after compliance testing
Getting started with RISC-V verification what's next after compliance testingRISC-V International
 
STS with Presto Engineering
STS with Presto EngineeringSTS with Presto Engineering
STS with Presto EngineeringHank Lydick
 
Andes building a secure platform with the enhanced iopmp
Andes building a secure platform with the enhanced iopmpAndes building a secure platform with the enhanced iopmp
Andes building a secure platform with the enhanced iopmpRISC-V International
 
Synopsys User Group Presentation
Synopsys User Group PresentationSynopsys User Group Presentation
Synopsys User Group Presentationemlawgr
 
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...RISC-V International
 
Rapid control prototyping system for power electronics
Rapid control prototyping system for power electronicsRapid control prototyping system for power electronics
Rapid control prototyping system for power electronicsimperix
 
ODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & FeedsODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & FeedsODSA Workgroup
 
MIPI DevCon 2016: How to Use the VESA Display Stream Compression (DSC) Standa...
MIPI DevCon 2016: How to Use the VESA Display Stream Compression (DSC) Standa...MIPI DevCon 2016: How to Use the VESA Display Stream Compression (DSC) Standa...
MIPI DevCon 2016: How to Use the VESA Display Stream Compression (DSC) Standa...MIPI Alliance
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipRISC-V International
 
Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...RISC-V International
 
Host Data Plane Acceleration: SmartNIC Deployment Models
Host Data Plane Acceleration: SmartNIC Deployment ModelsHost Data Plane Acceleration: SmartNIC Deployment Models
Host Data Plane Acceleration: SmartNIC Deployment ModelsNetronome
 
LinuxCNC 入門簡介
LinuxCNC 入門簡介LinuxCNC 入門簡介
LinuxCNC 入門簡介roboard
 
RISC-V growth and successes in technology and industry - embedded world 2021
RISC-V growth and successes in technology and industry - embedded world 2021RISC-V growth and successes in technology and industry - embedded world 2021
RISC-V growth and successes in technology and industry - embedded world 2021RISC-V International
 
Andes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorAndes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorRISC-V International
 
An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff
 An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff
An Open Discussion of RISC-V BitManip, trends, and comparisons _ CuffRISC-V International
 
Introduction to Vortex86DX2 Motion-Control Evaluation Board
Introduction to Vortex86DX2 Motion-Control Evaluation BoardIntroduction to Vortex86DX2 Motion-Control Evaluation Board
Introduction to Vortex86DX2 Motion-Control Evaluation Boardroboard
 

Was ist angesagt? (20)

RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentor
 
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
 
MIPI DevCon 2016: Implementing MIPI C-PHY
MIPI DevCon 2016: Implementing MIPI C-PHYMIPI DevCon 2016: Implementing MIPI C-PHY
MIPI DevCon 2016: Implementing MIPI C-PHY
 
Getting started with RISC-V verification what's next after compliance testing
Getting started with RISC-V verification what's next after compliance testingGetting started with RISC-V verification what's next after compliance testing
Getting started with RISC-V verification what's next after compliance testing
 
STS with Presto Engineering
STS with Presto EngineeringSTS with Presto Engineering
STS with Presto Engineering
 
Andes building a secure platform with the enhanced iopmp
Andes building a secure platform with the enhanced iopmpAndes building a secure platform with the enhanced iopmp
Andes building a secure platform with the enhanced iopmp
 
Synopsys User Group Presentation
Synopsys User Group PresentationSynopsys User Group Presentation
Synopsys User Group Presentation
 
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
 
Rapid control prototyping system for power electronics
Rapid control prototyping system for power electronicsRapid control prototyping system for power electronics
Rapid control prototyping system for power electronics
 
ODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & FeedsODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & Feeds
 
MIPI DevCon 2016: How to Use the VESA Display Stream Compression (DSC) Standa...
MIPI DevCon 2016: How to Use the VESA Display Stream Compression (DSC) Standa...MIPI DevCon 2016: How to Use the VESA Display Stream Compression (DSC) Standa...
MIPI DevCon 2016: How to Use the VESA Display Stream Compression (DSC) Standa...
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket Chip
 
Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...
 
Host Data Plane Acceleration: SmartNIC Deployment Models
Host Data Plane Acceleration: SmartNIC Deployment ModelsHost Data Plane Acceleration: SmartNIC Deployment Models
Host Data Plane Acceleration: SmartNIC Deployment Models
 
LinuxCNC 入門簡介
LinuxCNC 入門簡介LinuxCNC 入門簡介
LinuxCNC 入門簡介
 
RISC-V growth and successes in technology and industry - embedded world 2021
RISC-V growth and successes in technology and industry - embedded world 2021RISC-V growth and successes in technology and industry - embedded world 2021
RISC-V growth and successes in technology and industry - embedded world 2021
 
Andes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorAndes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processor
 
An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff
 An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff
An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff
 
Introduction to Vortex86DX2 Motion-Control Evaluation Board
Introduction to Vortex86DX2 Motion-Control Evaluation BoardIntroduction to Vortex86DX2 Motion-Control Evaluation Board
Introduction to Vortex86DX2 Motion-Control Evaluation Board
 
Assignmentdsp
AssignmentdspAssignmentdsp
Assignmentdsp
 

Ähnlich wie EMC2 Xilinx SDSoC presentation

Introducing the CrossLink Programmable ASSP
Introducing the CrossLink Programmable ASSPIntroducing the CrossLink Programmable ASSP
Introducing the CrossLink Programmable ASSPLatticeSemiconductor
 
Escolhendo o Processador DaVinciTM para sua Aplicação de ...
Escolhendo o Processador DaVinciTM para sua Aplicação de ...Escolhendo o Processador DaVinciTM para sua Aplicação de ...
Escolhendo o Processador DaVinciTM para sua Aplicação de ...Videoguy
 
Escolhendo o Processador DaVinciTM para sua Aplicação de ...
Escolhendo o Processador DaVinciTM para sua Aplicação de ...Escolhendo o Processador DaVinciTM para sua Aplicação de ...
Escolhendo o Processador DaVinciTM para sua Aplicação de ...Videoguy
 
Spansion Traveo MCUs for Automotive Dashboards with HMI and Embedded 3D Graph...
Spansion Traveo MCUs for Automotive Dashboards with HMI and Embedded 3D Graph...Spansion Traveo MCUs for Automotive Dashboards with HMI and Embedded 3D Graph...
Spansion Traveo MCUs for Automotive Dashboards with HMI and Embedded 3D Graph...Spansion
 
PLNOG15: VidMon - monitoring video signal quality in Service Provider IP netw...
PLNOG15: VidMon - monitoring video signal quality in Service Provider IP netw...PLNOG15: VidMon - monitoring video signal quality in Service Provider IP netw...
PLNOG15: VidMon - monitoring video signal quality in Service Provider IP netw...PROIDEA
 
Products worked on
Products worked onProducts worked on
Products worked onprajwal_s
 
Signal-Oriented ECUs in a Centralized Service-Oriented Architecture: Scalabil...
Signal-Oriented ECUs in a Centralized Service-Oriented Architecture: Scalabil...Signal-Oriented ECUs in a Centralized Service-Oriented Architecture: Scalabil...
Signal-Oriented ECUs in a Centralized Service-Oriented Architecture: Scalabil...RealTime-at-Work (RTaW)
 
Optical and mobile networks: friends or foes?
Optical and mobile networks: friends or foes?Optical and mobile networks: friends or foes?
Optical and mobile networks: friends or foes?ADVA
 
ICS/SCADA/PLC Google/Shodanhq Cheat Sheet
ICS/SCADA/PLC Google/Shodanhq Cheat SheetICS/SCADA/PLC Google/Shodanhq Cheat Sheet
ICS/SCADA/PLC Google/Shodanhq Cheat Sheetqqlan
 
Electronica & pc's todos los conectores (spanish) by porru
Electronica & pc's   todos los conectores (spanish) by porruElectronica & pc's   todos los conectores (spanish) by porru
Electronica & pc's todos los conectores (spanish) by porrufedericoblanco
 
Electronics & computing - All connectors
Electronics & computing - All connectorsElectronics & computing - All connectors
Electronics & computing - All connectorsdegarden
 
Bus de datos y Software de DDC
Bus de datos y Software de DDCBus de datos y Software de DDC
Bus de datos y Software de DDCMarketing Donalba
 
Webinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mão
Webinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mãoWebinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mão
Webinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mãoEmbarcados
 
/Home/nvlogic/desktop/spraaf3
/Home/nvlogic/desktop/spraaf3/Home/nvlogic/desktop/spraaf3
/Home/nvlogic/desktop/spraaf3kesava ram
 
Elephant & mice flows
Elephant & mice flowsElephant & mice flows
Elephant & mice flowsJeff Green
 
103 Basic network concepts
103 Basic network concepts103 Basic network concepts
103 Basic network conceptsSsendiSamuel
 
Digital Media Production - Future Internet
Digital Media Production - Future InternetDigital Media Production - Future Internet
Digital Media Production - Future InternetMaarten Verwaest
 
Catálogo DDC con bus de datos y software para aeronáutica
Catálogo DDC con bus de datos y software para aeronáuticaCatálogo DDC con bus de datos y software para aeronáutica
Catálogo DDC con bus de datos y software para aeronáuticaMarketing Donalba
 
HTTP and 5G (fixed1)
HTTP and 5G (fixed1)HTTP and 5G (fixed1)
HTTP and 5G (fixed1)dynamis
 

Ähnlich wie EMC2 Xilinx SDSoC presentation (20)

Introducing the CrossLink Programmable ASSP
Introducing the CrossLink Programmable ASSPIntroducing the CrossLink Programmable ASSP
Introducing the CrossLink Programmable ASSP
 
Escolhendo o Processador DaVinciTM para sua Aplicação de ...
Escolhendo o Processador DaVinciTM para sua Aplicação de ...Escolhendo o Processador DaVinciTM para sua Aplicação de ...
Escolhendo o Processador DaVinciTM para sua Aplicação de ...
 
Escolhendo o Processador DaVinciTM para sua Aplicação de ...
Escolhendo o Processador DaVinciTM para sua Aplicação de ...Escolhendo o Processador DaVinciTM para sua Aplicação de ...
Escolhendo o Processador DaVinciTM para sua Aplicação de ...
 
Spansion Traveo MCUs for Automotive Dashboards with HMI and Embedded 3D Graph...
Spansion Traveo MCUs for Automotive Dashboards with HMI and Embedded 3D Graph...Spansion Traveo MCUs for Automotive Dashboards with HMI and Embedded 3D Graph...
Spansion Traveo MCUs for Automotive Dashboards with HMI and Embedded 3D Graph...
 
PLNOG15: VidMon - monitoring video signal quality in Service Provider IP netw...
PLNOG15: VidMon - monitoring video signal quality in Service Provider IP netw...PLNOG15: VidMon - monitoring video signal quality in Service Provider IP netw...
PLNOG15: VidMon - monitoring video signal quality in Service Provider IP netw...
 
Products worked on
Products worked onProducts worked on
Products worked on
 
Signal-Oriented ECUs in a Centralized Service-Oriented Architecture: Scalabil...
Signal-Oriented ECUs in a Centralized Service-Oriented Architecture: Scalabil...Signal-Oriented ECUs in a Centralized Service-Oriented Architecture: Scalabil...
Signal-Oriented ECUs in a Centralized Service-Oriented Architecture: Scalabil...
 
Optical and mobile networks: friends or foes?
Optical and mobile networks: friends or foes?Optical and mobile networks: friends or foes?
Optical and mobile networks: friends or foes?
 
ICS/SCADA/PLC Google/Shodanhq Cheat Sheet
ICS/SCADA/PLC Google/Shodanhq Cheat SheetICS/SCADA/PLC Google/Shodanhq Cheat Sheet
ICS/SCADA/PLC Google/Shodanhq Cheat Sheet
 
Electronica & pc's todos los conectores (spanish) by porru
Electronica & pc's   todos los conectores (spanish) by porruElectronica & pc's   todos los conectores (spanish) by porru
Electronica & pc's todos los conectores (spanish) by porru
 
Electronics & computing - All connectors
Electronics & computing - All connectorsElectronics & computing - All connectors
Electronics & computing - All connectors
 
Bus de datos y Software de DDC
Bus de datos y Software de DDCBus de datos y Software de DDC
Bus de datos y Software de DDC
 
Webinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mão
Webinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mãoWebinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mão
Webinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mão
 
/Home/nvlogic/desktop/spraaf3
/Home/nvlogic/desktop/spraaf3/Home/nvlogic/desktop/spraaf3
/Home/nvlogic/desktop/spraaf3
 
Elephant & mice flows
Elephant & mice flowsElephant & mice flows
Elephant & mice flows
 
My Profile
My ProfileMy Profile
My Profile
 
103 Basic network concepts
103 Basic network concepts103 Basic network concepts
103 Basic network concepts
 
Digital Media Production - Future Internet
Digital Media Production - Future InternetDigital Media Production - Future Internet
Digital Media Production - Future Internet
 
Catálogo DDC con bus de datos y software para aeronáutica
Catálogo DDC con bus de datos y software para aeronáuticaCatálogo DDC con bus de datos y software para aeronáutica
Catálogo DDC con bus de datos y software para aeronáutica
 
HTTP and 5G (fixed1)
HTTP and 5G (fixed1)HTTP and 5G (fixed1)
HTTP and 5G (fixed1)
 

Mehr von Sundance Multiprocessor Technology Ltd.

TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing HandbookTULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing HandbookSundance Multiprocessor Technology Ltd.
 

Mehr von Sundance Multiprocessor Technology Ltd. (20)

Sundance Perception Blade
Sundance Perception BladeSundance Perception Blade
Sundance Perception Blade
 
Sundance's presentation at B:RAI 2020
Sundance's presentation at B:RAI 2020Sundance's presentation at B:RAI 2020
Sundance's presentation at B:RAI 2020
 
Sundance at the 49th Intelligent Sensing Program
Sundance at the 49th Intelligent Sensing ProgramSundance at the 49th Intelligent Sensing Program
Sundance at the 49th Intelligent Sensing Program
 
Sundance VCS-1 for Precision Robotics
Sundance VCS-1 for Precision RoboticsSundance VCS-1 for Precision Robotics
Sundance VCS-1 for Precision Robotics
 
TULIPP at the 10th Intelligent Imaging Event
TULIPP at the 10th Intelligent Imaging EventTULIPP at the 10th Intelligent Imaging Event
TULIPP at the 10th Intelligent Imaging Event
 
Sundance TULIPP Workshop at Nottingham Trent University
Sundance TULIPP Workshop at Nottingham Trent UniversitySundance TULIPP Workshop at Nottingham Trent University
Sundance TULIPP Workshop at Nottingham Trent University
 
TULIPP Starter Kit – AGRI
TULIPP Starter Kit – AGRITULIPP Starter Kit – AGRI
TULIPP Starter Kit – AGRI
 
Re-Vision stack presentation
Re-Vision stack presentationRe-Vision stack presentation
Re-Vision stack presentation
 
Moving object detection on FPGA
Moving object detection on FPGAMoving object detection on FPGA
Moving object detection on FPGA
 
ANPR FPGA Workshop
ANPR FPGA WorkshopANPR FPGA Workshop
ANPR FPGA Workshop
 
E3MV - Embedded Vision - Sundance
E3MV - Embedded Vision - SundanceE3MV - Embedded Vision - Sundance
E3MV - Embedded Vision - Sundance
 
HiPEAC 2018 - CPS, why all the fuss?
HiPEAC 2018 - CPS, why all the fuss?HiPEAC 2018 - CPS, why all the fuss?
HiPEAC 2018 - CPS, why all the fuss?
 
Sundance HiPEAC 2018 Presentation
Sundance HiPEAC 2018 PresentationSundance HiPEAC 2018 Presentation
Sundance HiPEAC 2018 Presentation
 
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing HandbookTULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
 
TULIPP at NMI 18-5-17
TULIPP at NMI 18-5-17TULIPP at NMI 18-5-17
TULIPP at NMI 18-5-17
 
Open VPX Tutorial
Open VPX TutorialOpen VPX Tutorial
Open VPX Tutorial
 
VF360 OpenVPX Board w. Altera Stratix and TI KeyStone DSP
VF360 OpenVPX Board w. Altera Stratix and TI KeyStone DSPVF360 OpenVPX Board w. Altera Stratix and TI KeyStone DSP
VF360 OpenVPX Board w. Altera Stratix and TI KeyStone DSP
 
Stack PC in PC104 Land
Stack PC in PC104 LandStack PC in PC104 Land
Stack PC in PC104 Land
 
Pc 104 express w. virtex 5-2014_5
Pc 104 express w. virtex 5-2014_5Pc 104 express w. virtex 5-2014_5
Pc 104 express w. virtex 5-2014_5
 
DM8168 Dual SuperHD image capture using DaVinci
DM8168 Dual SuperHD image capture using DaVinciDM8168 Dual SuperHD image capture using DaVinci
DM8168 Dual SuperHD image capture using DaVinci
 

Kürzlich hochgeladen

Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businesspanagenda
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAndrey Devyatkin
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Orbitshub
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDropbox
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyKhushali Kathiriya
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native ApplicationsWSO2
 
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...apidays
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...Zilliz
 
Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxRustici Software
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobeapidays
 
AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024The Digital Insurer
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...apidays
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FMESafe Software
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfsudhanshuwaghmare1
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWERMadyBayot
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century educationjfdjdjcjdnsjd
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfOrbitshub
 
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...apidays
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsNanddeep Nachan
 
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Victor Rentea
 

Kürzlich hochgeladen (20)

Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor Presentation
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
 
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptx
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
 

EMC2 Xilinx SDSoC presentation

  • 1. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 1 Xilinx SDSoC for Acceleration of Real-time Video Processing on ‘COTS’ ZYNQ Modules. HiPEAC EMC2 Workshop – 2016-01-20 Jiří Kadlec, Zdeněk Pohl, Lukáš Kohout (UTIA AV ČR v.v.i. - Prague, Czech Republic) Flemming Christensen, Mark Honman, (Sundance - Chesham, United Kingdom)
  • 2. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 2 Introduction and Content • Introduction to the Xilinx SDSoC Environment • UTIA SDSoC 2015.4 HW Platform for EMC2-DP • HDMI-in – HDMI-out Demos • VITA Video Sensor-in HDMI-out Demos, • Data movers and HLS blocks • Sundance’s EMC2 Development Platform
  • 3. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 3 EMC2 - System on Module 40mm x 50mm Xilinx SoC or FPGAs Zynq, Artix-7 or Kintex-7
  • 4. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 4 EMC2 - System on Module
  • 5. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 5 EMC2 - Devlopment Platform ‚PC/104 Stackable Board‘
  • 6. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 6 EMC2 - Development Platform ‚PC/104 Stackable Board‘ - IO Module
  • 7. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 7 EMC2 - Development Platform ‚PC/104 Multiprocessing Stack‘
  • 8. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 8 EMC2 - Development Platform ‚PC/104 Multiprocessing Stack‘
  • 9. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 9 EMC2 - Development Platform ‚PC/104 I/O FMC Expansion Module‘
  • 10. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 10 EMC2 - Development Platform ‚PC/104 I/O FMC Expansion Module‘
  • 11. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 11 EMC2 Video Processing Demo Xilinx SDSoC Environment
  • 12. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 12 EMC2 Video Processing Demo Xilinx SDSoC Environment
  • 13. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 13 All Programmable SoCs Development Flow APP(){ funcA(); funcB(); funcC();} HW-SW partition? funcA funcB, funcCPS PL HW-SW Connectivity? funcAPS funcB, funcC PL Datamover PS-PL interfaces SW drivers Exploreoptimalarchitecture
  • 14. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 14 Without SDSoC: HW-SW Partition Exploration PL PS ApplicationSDKC/C++ DriverSDK, OS ToolsC IP IntegratorIPI project Datamover PS-PL interface IPVivadoHLS Verilog, VHDL HW-SW partition spec Met Req ?
  • 15. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 15 With SDSoC: Automatic System Generation C/C++ Select functions for PL PL PS IP Application Driver SDSoC Datamover PS-PL interface Met Req ? func1_sw(); func2_hw(); func3_hw();
  • 16. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 16 Base HW Platform for SDSoC Application Driver Interface IPs Interface IPs Application Driver AMBA Bus Platform Processing Systems (PS) Programmable Logic (PL) • Custom platform = Vivado project + Bootable software image • Available for commonly used development kit and SoMs
  • 17. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 17 Complete SDSoC flow Application Driver Interface IPs Interface IPs Application Driver AMBA Bus C/C++ Application Application Driver IP IP IP IP Connectivity Generated
  • 18. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 18 SDSoC Example: Matrix Multiply +Add main(){ malloc(A,B,C); mmult(A,B,D); madd(C,D,E); printf(E); } madd(inA,inB,out){ } HDL IPC-callable IP mmult(inA,inB,out){ } HLS C/C++ A,B datamovers AMBA Bus Platform Application Driver mmult madd Generated D A B C E
  • 19. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 19 EMC2 – DP w. HDMI In/Out FMC Module runnung SDSoC 2015.4 environment Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Platform: c:S54emc15_1c_hdmiihdmii-hdmio ARMA9&DDR3Parametersplatform Xilinx SDSoC Environment 2015.4 Date: 2016_01_02 hdmii VFB VFB hdmiofmc_imageon_ hdmi_in_0 v_video_in_ axi4s_0 axi_vdma_0 axi_interconnect HP0 axi_interconnect axi_vdma_0 v_axi4s_vid_out_0 fmc_imageon_ hdmi_out_0 HP0 v_tc_0 60 0 20 40 60 EMC2-DP-V1 15-03-1c: FPS SW 27 0 50 100 EMC2-DP-V1 15-03-1c: Slices [%] SW 12.63 0 50 100 EMC2-DP-V1 15-03-1c: brams [%] SW 666 MHz ARM A9 SW synchronization of VFBs Space for SW version of algorithms
  • 20. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 20 Sobel Filter Full HD Pf: HDMI In/Out SW and HW flow in Xilinx SDSoC 2015.4 Carrier: EMC2-DP Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: so01 Demo_HW so02 Platform: c:S54emc15_1c_hdmiihdmii-hdmio ARMA9&DDR3Parametersplatform Xilinx SDSoC Environment 2015.4 Date: 2016_01_02 hdmii VFB ycbcr2y_pad sobel_filter y2gray_pad VFB hdmio ycbcr2y_pad sobel_filter y2gray_pad 120 MHz HW path/DMA 150 MHz VDMA 666 MHz ARM A9 37.33 5.34 0 20 40 60 EMC2-DP-V1 15-03-1c: FPS SW HW 53 27 0 50 100 EMC2-DP-V1 15-03-1c: Slices [%] SW HW 30 12.63 0 50 100 EMC2-DP-V1 15-03-1c: BRAMs[%] SW HW 148,5 MHz HDMI In 148,5 MHz HDMI Out
  • 21. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 21 Sobel Filter Full HD Pf: HDMI In/Out Process only upper 50% of frames Single computing data path in HW Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: so03 Demo_HW so04 Platform: c:S54emc15_1c_hdmiihdmii-hdmio ARMA9&DDR3Parametersplatform Xilinx SDSoC Environment 2015.4 Date: 2016_01_02 hdmii VFB ycbcr2y_pad sobel_filter y2gray_pad VFB hdmio ycbcr2y_pad sobel_filter y2gray_pad 150,0 MHz VDMA 666 MHz ARM A9 150 MHz HW path/DMA 60 10.66 0 20 40 60 EMC2-DP-V1 15-03-1c: FPS SW HW 53 27 0 50 100 EMC2-DP-V1 15-03-1c: Slices [%] SW HW 30 12.63 0 50 100 EMC2-DP-V1 15-03-1c: BRAMs[%] SW HW 148,5 MHz HDMI In 148,5 MHz HDMI Out
  • 22. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 22 Sobel filter in Full HD Pf: HDMI In/Out Process upper and lower part of frames Two parallel computing data paths in HW Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: so05 Demo_HW so06 Platform: c:S54emc15_1c_hdmiihdmii-hdmio ARMA9&DDR3Parametersplatform Xilinx SDSoC Environment 2015.4 Date: 2016_01_02 hdmii VFB ycbcr2y_pad1 sobel_filter1 y2gray_pad1 VFB hdmio ycbcr2y_pad1 sobel_filter1 y2gray_pad1 ycncr2y_pad2 sobel_filter2 y2gray_pad2 ycbcr2y_pad2 sobel_filter2 y2gray_pad2 56.95 5.33 0 20 40 60 EMC2-DP-V1 15-03-1c: FPS SW HW 74.5 27 0 50 100 EMC2-DP-V1 15-03-1c: Slices[%] SW HW 47.37 12.63 0 50 100 EMC2-DP-V1 15-03-1c: BRAMs[%] SW HW Two 120 MHz HW data paths/DMA 148,5 MHz 148,5 MHz HDMI Out 150,0 MHz VDMA 666 MHz ARM A9
  • 23. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 23 Sobel filter in Full HD Pf: HDMI In/Out
  • 24. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 24 Motion Detection in Full HD Pf: HDMI In/Out Process complete frame Single computing data path in HW Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: md01 Demo_HW md02 Platform: c:S54emc15_1c_hdmiihdmii-hdmio ARMA9&DDR3Parametersplatform Xilinx SDSoC Environment 2015.4 Date: 2016_01_02 hdmii pad sobel_filter diff_image VFB hdmio pad diff_image pad sobel_filter_pass pad sobel_filter sobel_filter_pass median_char_filter_pass combo_image ext VFB median_char_filter_pass combo_image ext 148,5 MHz HDMI In 148,5 MHz HDMI Out120 MHz HW data path/DMA 32.5 1.18 0 20 40 60 EMC2-DP-V1 15-03-1c: FPS SW HW 75.27 27 0 50 100 EMC2-DP-V1 15-03-1c: Slices [%] SW HW 60.53 12.63 0 50 100 EMC2-DP-V1 15-03-1c: BRAMs[%] SW HW
  • 25. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 25 Motion Detection Full HD Pf: HDMI In/Out SW and HW flow in Xilinx SDSoC 2015.4 Carrier: EMC2-DP Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Demo_SW: md03 Demo_HW md04 Platform: c:S54emc15_1c_hdmiihdmii-hdmio ARMA9&DDR3Parametersplatform Xilinx SDSoC Environment 2015.4 Date: 2016_01_02 hdmii pad sobel_filter diff_image VFB hdmio pad diff_image pad sobel_filter_pass pad sobel_filter sobel_filter_pass median_char_filter_pass combo_image ext VFB median_char_filter_pass combo_image ext 60 2.37 0 20 40 60 EMC2-DP-V1 15-03-1c: FPS SW HW 75.27 27 0 50 100 EMC2-DP-V1 15-03-1c: Slices [%] SW HW 60.53 12.63 0 50 100 EMC2-DP-V1 15-03-1c: BRAMs[%] SW HW 148,5 MHz HDMI In 148,5 MHz HDMI Out120 MHz HW data path/DMA
  • 26. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 26 EMC2-DP with Vita Camera In/HDMI Out using SDSoC 2015.4 environment 666 MHz ARM A9 SW synchronization of VFBs Space for SW version of algorithms Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Platform: c:S54emc15_1c_vitavita-hdmio ARMA9&DDR3Parametersplatform Xilinx SDSoC Environment 2015.4 Date: 2016_01_02 vita 2000 sensor VFB VFB hdmiofmc_imageon_ vita_receiver_0 v_video_in_ axi4s_0 v_cfa_0 axi_interconnect HP0 axi_interconnect axi_vdma_0 v_axi4s_vid_out_0 fmc_imageon_ hdmi_out_0 HP0 v_tc_0 v_rgb2ycrcb axi_vdmav_cresample_0 60 0 20 40 60 EMC2-DP-V1 15-03-1c: FPS SW 54.54 0 50 100 EMC2-DP-V1 15-03-1c: Slices [%] SW 30.53 0 50 100 EMC2-DP-V1 15-03-1c: BRAMs[%] SW 148,5 MHz HDMI Out
  • 27. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 27 Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Platform: c:S54emc15_1c_vitavita-hdmio ARMA9&DDR3Parametersplatform Xilinx SDSoC Environment 2015.4 Date: 2016_01_02 Vita 2000 VFB ycbcr2y_pad1 sobel_filter1 y2gray_pad1 VFB hdmio ycbcr2y_pad1 sobel_filter1 y2gray_pad1 ycncr2y_pad2 sobel_filter2 y2gray_pad2 ycbcr2y_pad2 sobel_filter2 y2gray_pad2 56.95 5.36 0 20 40 60 EMC2-DP-V1 15-03-1c: FPS SW HW 92.69 54.54 0 50 100 EMC2-DP-V1 15-03-1c: Slices [%] SW HW 65.26 30.53 0 50 100 EMC2-DP-V1 15-03-1c: BRAMs[%] SW HW 120 MHz HW data path/DMA 148,5 MHz HDMI Out Sobel filter in Full HD Pf: vita-hdmio Process upper and lower part of frames Two parallel computing data paths in HW
  • 28. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 28 Sobel filter in Full HD Pf: Vita In/HDMI Out Process upper and lower part of frames Two parallel computing data paths in HW Module: te7015-03-1CF Carrier: EMC2-DP-V1 FMC Interface: Imageon Platform: c:S54emc15_1c_vitavita-hdmio ARMA9&DDR3platform Xilinx SDSoC Environment 2015.4 Date: 2016_01_02 vita 2000 sensor VFB VFB hdmio dm_0 HP0 HP0 ycbcr2y_pad1_0_if ycbcr2y_pad1_0 (Vivado HLS) sobel_filter1_0_if sobel_filter1_0 (Vivado HLS) y2gray_pad1_0_if y2gray_pad1_0 (Vivado HLS) dm_2 dm_1 ycbcr2y_pad2_0_if ycbcr2y_pad2_0 (Vivado HLS) sobel_filter2_0_if sobel_filter2_0 (Vivado HLS) y2gray_pad2_0_if y2gray_pad2_0 (Vivado HLS) dm_3 ycbcr2y_pad1 sobel_filter1 y2gray_pad1 ycncr2y_pad2 sobel_filter2 y2gray_pad2
  • 29. [emc2] –UTIA/Sundance Presentation for HiPEAC 20.01.2016 in Prague2016-20-01 Page 29 Conclusions Compile times in SDSoC (average laptop figures): • SW: 1 min • HW (Vivado IP Integrator System): 3 min • HW (to bitstream and SD card BOOT.BIN): 60 min • SDK (final SW project with HW accelerator): 1 min Licensing: • Xilinx is selling license of SDSoC (it is for all ZYNQ devices with Vivado and HLS) • SDK (final SW project with HW accelerator): free Acknowledgement: UTIA and Sundance are partially supported by the Artemis EMC2 project.