SlideShare ist ein Scribd-Unternehmen logo
1 von 2
ROBERTO R. REYES
North Brunswick, NJ 08902 732.690.0487
www.linkedin.com/in/roberto-reyes-53706a30 rreyes2157@hotmail.com
PROCESS ENGINEER
SEMICONDUCTOR PROCESSING AND FABRICATION OF III-V SUBSTRATES
Experienced in development and fabrication processing of 2” and 3” InP wafers for Telecom, intelligence,
surveillance and reconnaissance (ISR) and sensor devices in cleanroom environment. Known for working
effectively with processing and research teams in process integration and in running experiments based
on existing parameters and tool set. Develop new recipe from experimental parameters to be integrated
in existing process procedures, making recommendations for process improvements and
implementations. Train and support new team members. Troubleshoot and resolve problems with process
team and engineers.
PROFESSIONAL EXPERIENCE
UNITED TECHNOLOGIES CORPORA TION AEROSPACE SYSTEM, Princeton, NJ 2013 – 2015
Senior Process Technician
Processed 3” InP wafer devices used for SWIR (short wave infrared) cameras mounted on UAV
unmanned aerial vehicle (UAV), manned aerial vehicle (MAV) and handheld cameras to improve images
and Focal Point Array used in Thermal Imaging. Worked in InP wafer processing production lab. Worked
extensively with 3”InP, including metal deposition, mounting wafers on glass pucks, performing lapping
and CMP (chemical-mechanical polishing), selective wet etch / dry etch, contact alignment
photolithography, metal lift off, and solvent cleaning.
 Recorded and logged process procedures accurately by using Microsoft Access database and PMEMS
datasheets.
 Ensured that dark current parameters were achieved by measuring electrical (dark current) test on
Post diffusion wafers after EPI growth.
UNIVERSAL DISPLAY CORP., Ewing, NJ 2012 – 2013
Research Technician
Worked in Organic Light Emitting Device (OLED) lab. Performed deposition of organic and metal materials
on treated glass substrates using Tokki Evaporators. Maintained vacuum deposition equipment including
vacuum pumps, vacuum deposition chambers and other associated supporting equipment. Interfaced
with other team members scheduling fabrication runs.
 Assured consistency while running process recipe by programming fabrication recipes through PC
interface and custom software.
 Guaranteed proper stack thickness by monitoring deposition processes with manual intervention when
necessary.
 Enabled comparison between recipes by recording and logging fabrication procedures using Microsoft
Access database and hardcopy datasheets.
MULTIPLEX INC., South Plainfield, NJ 2011 – 2012
Process Engineer
Worked in EML (Electro-absorption modulated laser) Wafer Fabrication Department, processing 2” InP
wafers for Telecommunication applications. Performed metal deposition (Au, Pt, AuBe, Ge and Ti) on InP
and InGaAs wafers, metal lift off and wafer inspection. Performed CMP (chemical-mechanical polishing)
on InP wafers. Handled equipment troubleshooting problems.
 Ensured that adequate inventory was on hand by monitoring consumption of metal and gas usage.
ALCATEL-LUCENT TECHNOLOGIES BELL LABS, Murray Hill, NJ 2000 – 2011
Member of the Technical Staff I
Worked in High Speed Electronics and Optoelectronics Research Department. Performed qualifications of
newly installed capital equipment such as 6-pocket CHA evaporators, Ebara High Vacuum pumps, Unaxis
RIE Etchers and CVD Systems. Installed gas lines MFCs for etching experiments, process fabrication,
development and improvement. Performed qualifications of newly installed equipment’s e-beam metal
evaporators and sputtering tool on III-V substrates. Performed dry etch on III-V substrates on ICP-RIE
and Dielectric etchers as well as selective wet etch on InGaAs and InP wafers. Performed SiO2, nitride
deposition (thin-film deposition) on III-V substrates.
 Developed new recipes by aiding with process integration and assisting other engineers in running
experiments on InP HBTs and OEICs.
 Improved circuit yields as well as developed new technologies in line with business unit and customer
needs by performing experiments and process improvements.
 Met customer demand and within scope of ISO standards by adhering to ISO and Statistical Process
Control (SPC) for technology transfer.
 Achieved High Performance and High Uniformity InP HBT Technology in support of company SBU by
processing 3” InP wafers for high-speed optical telecommunication systems.
 Facilitated process technology that cooperated at static frequency of 150GHz by developing DARPA
TFAST project, 0.25um InP DHBT.
 Ensured wafer devices were within specifications of set parameters by performing metal lift off, solvent
cleaning and wafer level inspection.
 Calibrated, improved and initiated process recipe for multiple metal stacks for deposition of Ti, Au, Pt,
Pd, Au-Be (1%), Cr, NiCr, and W film, ensuring proper metal thickness was obtained using CHA and Airco
evaporators.
 Minimized downtime by performing maintenance and installation of vacuum pumps, chillers, heat
exchangers, dry etchers, evaporators and chemical hoods.
 Improved device performance by setting up and performing electrochemistry (metal plating)
experiments and processes on existing procedure on III-V substrates.
ADDITIONAL EXPERIENCE
LUCENT TECHNOLOGIES BELL LABS, Murray Hill, NJ
Technician / SEDM (Senior Electron Device Mechanic)
ANADIGICS INC., Warren, NJ
Wafer Fabrication Operator
AT&T BELL LABS, Murray Hill, NJ
SEDM
TECHNICAL SKILLS
Languages: English and Tagalog
Applications: Microsoft Office
Databases: Microsoft Access
Specialized Equipment & Tools: CHA and Temescal eBeam Evaporators; Uniaxis ICP RIE and
Dielectric Etchers; Karl Suuss MJB3 Aligners;Sputtering Tool;
Alessi Prober RIE, Dielectric etchers
EDUCATION
Bachelor of Science in Chemical Engineering
New Jersey Institute of Technology (NJIT), Newark, NJ

Weitere ähnliche Inhalte

Was ist angesagt?

Roger Spencer Resume revised 9_20_16
Roger Spencer Resume revised 9_20_16Roger Spencer Resume revised 9_20_16
Roger Spencer Resume revised 9_20_16Roger Spencer
 
Resume Mark Curtis 2015_05_07
Resume Mark Curtis 2015_05_07Resume Mark Curtis 2015_05_07
Resume Mark Curtis 2015_05_07Mark Curtis
 
REVISED CV SEPTEMBER 03 2016
REVISED CV SEPTEMBER 03 2016REVISED CV SEPTEMBER 03 2016
REVISED CV SEPTEMBER 03 2016amiel barcelon
 
Resume Timothy Roscoe 07-07-2015
Resume Timothy Roscoe 07-07-2015Resume Timothy Roscoe 07-07-2015
Resume Timothy Roscoe 07-07-2015tproscoe
 
Onochie Emeka Jude Current CV
Onochie Emeka Jude Current CVOnochie Emeka Jude Current CV
Onochie Emeka Jude Current CVOnochie Jude
 
NICHOLASKINGResume091315
NICHOLASKINGResume091315NICHOLASKINGResume091315
NICHOLASKINGResume091315Nicholas King
 
Heimmermann_Resume_2016-11b
Heimmermann_Resume_2016-11bHeimmermann_Resume_2016-11b
Heimmermann_Resume_2016-11bDean Heimmerman
 
Dale Frey Resume
Dale Frey ResumeDale Frey Resume
Dale Frey ResumeDale Frey
 
JOHN CLARK Resume
JOHN CLARK ResumeJOHN CLARK Resume
JOHN CLARK ResumeJohn Clark
 
SteveCumminsResume25oct16
SteveCumminsResume25oct16SteveCumminsResume25oct16
SteveCumminsResume25oct16Steve Cummins
 
Danielle Carpenter Test Resume
Danielle Carpenter Test ResumeDanielle Carpenter Test Resume
Danielle Carpenter Test ResumeDanielle Carpenter
 
Dale Frey Resume
Dale Frey ResumeDale Frey Resume
Dale Frey ResumeDale Frey
 
(A)markwatsonresume315.docx1 (2)
(A)markwatsonresume315.docx1 (2)(A)markwatsonresume315.docx1 (2)
(A)markwatsonresume315.docx1 (2)Mark Watson
 

Was ist angesagt? (20)

Kaneta Resume
Kaneta ResumeKaneta Resume
Kaneta Resume
 
Roger Spencer Resume revised 9_20_16
Roger Spencer Resume revised 9_20_16Roger Spencer Resume revised 9_20_16
Roger Spencer Resume revised 9_20_16
 
Wayne Fick HW
Wayne Fick HWWayne Fick HW
Wayne Fick HW
 
Resume Mark Curtis 2015_05_07
Resume Mark Curtis 2015_05_07Resume Mark Curtis 2015_05_07
Resume Mark Curtis 2015_05_07
 
Resume bertrand
Resume bertrandResume bertrand
Resume bertrand
 
RBG_DQE_0117
RBG_DQE_0117RBG_DQE_0117
RBG_DQE_0117
 
REVISED CV SEPTEMBER 03 2016
REVISED CV SEPTEMBER 03 2016REVISED CV SEPTEMBER 03 2016
REVISED CV SEPTEMBER 03 2016
 
Resume Timothy Roscoe 07-07-2015
Resume Timothy Roscoe 07-07-2015Resume Timothy Roscoe 07-07-2015
Resume Timothy Roscoe 07-07-2015
 
Onochie Emeka Jude Current CV
Onochie Emeka Jude Current CVOnochie Emeka Jude Current CV
Onochie Emeka Jude Current CV
 
NICHOLASKINGResume091315
NICHOLASKINGResume091315NICHOLASKINGResume091315
NICHOLASKINGResume091315
 
Heimmermann_Resume_2016-11b
Heimmermann_Resume_2016-11bHeimmermann_Resume_2016-11b
Heimmermann_Resume_2016-11b
 
Dale Frey Resume
Dale Frey ResumeDale Frey Resume
Dale Frey Resume
 
Mike Leeper Resume
Mike Leeper ResumeMike Leeper Resume
Mike Leeper Resume
 
JOHN CLARK Resume
JOHN CLARK ResumeJOHN CLARK Resume
JOHN CLARK Resume
 
SteveCumminsResume25oct16
SteveCumminsResume25oct16SteveCumminsResume25oct16
SteveCumminsResume25oct16
 
Danielle Carpenter Test Resume
Danielle Carpenter Test ResumeDanielle Carpenter Test Resume
Danielle Carpenter Test Resume
 
Dale Frey Resume
Dale Frey ResumeDale Frey Resume
Dale Frey Resume
 
My CV
My CVMy CV
My CV
 
(A)markwatsonresume315.docx1 (2)
(A)markwatsonresume315.docx1 (2)(A)markwatsonresume315.docx1 (2)
(A)markwatsonresume315.docx1 (2)
 
Resume Mda2
Resume Mda2Resume Mda2
Resume Mda2
 

Ähnlich wie RobertoRReyesResume

Ähnlich wie RobertoRReyesResume (20)

CV Arif Nusyirwan - 20150510
CV Arif Nusyirwan - 20150510CV Arif Nusyirwan - 20150510
CV Arif Nusyirwan - 20150510
 
BPippey_resume 2 Pg
BPippey_resume 2 PgBPippey_resume 2 Pg
BPippey_resume 2 Pg
 
Apekshit mulay
Apekshit mulayApekshit mulay
Apekshit mulay
 
Apekshit mulay Resume
Apekshit mulay ResumeApekshit mulay Resume
Apekshit mulay Resume
 
Todd Watson Resume
Todd Watson ResumeTodd Watson Resume
Todd Watson Resume
 
Bob Price - Mechanical Engineer
Bob Price - Mechanical EngineerBob Price - Mechanical Engineer
Bob Price - Mechanical Engineer
 
Apek Mulay resume
Apek Mulay resumeApek Mulay resume
Apek Mulay resume
 
Kevin Hiller Resume.Reliability.Quality
Kevin Hiller Resume.Reliability.QualityKevin Hiller Resume.Reliability.Quality
Kevin Hiller Resume.Reliability.Quality
 
resumelrs_jan_2017
resumelrs_jan_2017resumelrs_jan_2017
resumelrs_jan_2017
 
TOMMY RESUME 02-25-2016
TOMMY RESUME  02-25-2016TOMMY RESUME  02-25-2016
TOMMY RESUME 02-25-2016
 
Suman Professional
Suman ProfessionalSuman Professional
Suman Professional
 
Khubaib Khan_CV Instrument
Khubaib Khan_CV InstrumentKhubaib Khan_CV Instrument
Khubaib Khan_CV Instrument
 
robert-doucette_resume_
robert-doucette_resume_robert-doucette_resume_
robert-doucette_resume_
 
AtifBhatti resume
AtifBhatti resumeAtifBhatti resume
AtifBhatti resume
 
Andrew Kranak Resume 2016
Andrew Kranak Resume 2016Andrew Kranak Resume 2016
Andrew Kranak Resume 2016
 
Sanjay Pejavar_06-15-2015
Sanjay Pejavar_06-15-2015Sanjay Pejavar_06-15-2015
Sanjay Pejavar_06-15-2015
 
Adam Podgorski Resume
Adam Podgorski ResumeAdam Podgorski Resume
Adam Podgorski Resume
 
JuswantoWardojo
JuswantoWardojoJuswantoWardojo
JuswantoWardojo
 
Wayne Justin Smith Jr. Resume
Wayne Justin Smith Jr. ResumeWayne Justin Smith Jr. Resume
Wayne Justin Smith Jr. Resume
 
Ramkumar_CV
Ramkumar_CVRamkumar_CV
Ramkumar_CV
 

RobertoRReyesResume

  • 1. ROBERTO R. REYES North Brunswick, NJ 08902 732.690.0487 www.linkedin.com/in/roberto-reyes-53706a30 rreyes2157@hotmail.com PROCESS ENGINEER SEMICONDUCTOR PROCESSING AND FABRICATION OF III-V SUBSTRATES Experienced in development and fabrication processing of 2” and 3” InP wafers for Telecom, intelligence, surveillance and reconnaissance (ISR) and sensor devices in cleanroom environment. Known for working effectively with processing and research teams in process integration and in running experiments based on existing parameters and tool set. Develop new recipe from experimental parameters to be integrated in existing process procedures, making recommendations for process improvements and implementations. Train and support new team members. Troubleshoot and resolve problems with process team and engineers. PROFESSIONAL EXPERIENCE UNITED TECHNOLOGIES CORPORA TION AEROSPACE SYSTEM, Princeton, NJ 2013 – 2015 Senior Process Technician Processed 3” InP wafer devices used for SWIR (short wave infrared) cameras mounted on UAV unmanned aerial vehicle (UAV), manned aerial vehicle (MAV) and handheld cameras to improve images and Focal Point Array used in Thermal Imaging. Worked in InP wafer processing production lab. Worked extensively with 3”InP, including metal deposition, mounting wafers on glass pucks, performing lapping and CMP (chemical-mechanical polishing), selective wet etch / dry etch, contact alignment photolithography, metal lift off, and solvent cleaning.  Recorded and logged process procedures accurately by using Microsoft Access database and PMEMS datasheets.  Ensured that dark current parameters were achieved by measuring electrical (dark current) test on Post diffusion wafers after EPI growth. UNIVERSAL DISPLAY CORP., Ewing, NJ 2012 – 2013 Research Technician Worked in Organic Light Emitting Device (OLED) lab. Performed deposition of organic and metal materials on treated glass substrates using Tokki Evaporators. Maintained vacuum deposition equipment including vacuum pumps, vacuum deposition chambers and other associated supporting equipment. Interfaced with other team members scheduling fabrication runs.  Assured consistency while running process recipe by programming fabrication recipes through PC interface and custom software.  Guaranteed proper stack thickness by monitoring deposition processes with manual intervention when necessary.  Enabled comparison between recipes by recording and logging fabrication procedures using Microsoft Access database and hardcopy datasheets. MULTIPLEX INC., South Plainfield, NJ 2011 – 2012 Process Engineer Worked in EML (Electro-absorption modulated laser) Wafer Fabrication Department, processing 2” InP wafers for Telecommunication applications. Performed metal deposition (Au, Pt, AuBe, Ge and Ti) on InP and InGaAs wafers, metal lift off and wafer inspection. Performed CMP (chemical-mechanical polishing) on InP wafers. Handled equipment troubleshooting problems.  Ensured that adequate inventory was on hand by monitoring consumption of metal and gas usage.
  • 2. ALCATEL-LUCENT TECHNOLOGIES BELL LABS, Murray Hill, NJ 2000 – 2011 Member of the Technical Staff I Worked in High Speed Electronics and Optoelectronics Research Department. Performed qualifications of newly installed capital equipment such as 6-pocket CHA evaporators, Ebara High Vacuum pumps, Unaxis RIE Etchers and CVD Systems. Installed gas lines MFCs for etching experiments, process fabrication, development and improvement. Performed qualifications of newly installed equipment’s e-beam metal evaporators and sputtering tool on III-V substrates. Performed dry etch on III-V substrates on ICP-RIE and Dielectric etchers as well as selective wet etch on InGaAs and InP wafers. Performed SiO2, nitride deposition (thin-film deposition) on III-V substrates.  Developed new recipes by aiding with process integration and assisting other engineers in running experiments on InP HBTs and OEICs.  Improved circuit yields as well as developed new technologies in line with business unit and customer needs by performing experiments and process improvements.  Met customer demand and within scope of ISO standards by adhering to ISO and Statistical Process Control (SPC) for technology transfer.  Achieved High Performance and High Uniformity InP HBT Technology in support of company SBU by processing 3” InP wafers for high-speed optical telecommunication systems.  Facilitated process technology that cooperated at static frequency of 150GHz by developing DARPA TFAST project, 0.25um InP DHBT.  Ensured wafer devices were within specifications of set parameters by performing metal lift off, solvent cleaning and wafer level inspection.  Calibrated, improved and initiated process recipe for multiple metal stacks for deposition of Ti, Au, Pt, Pd, Au-Be (1%), Cr, NiCr, and W film, ensuring proper metal thickness was obtained using CHA and Airco evaporators.  Minimized downtime by performing maintenance and installation of vacuum pumps, chillers, heat exchangers, dry etchers, evaporators and chemical hoods.  Improved device performance by setting up and performing electrochemistry (metal plating) experiments and processes on existing procedure on III-V substrates. ADDITIONAL EXPERIENCE LUCENT TECHNOLOGIES BELL LABS, Murray Hill, NJ Technician / SEDM (Senior Electron Device Mechanic) ANADIGICS INC., Warren, NJ Wafer Fabrication Operator AT&T BELL LABS, Murray Hill, NJ SEDM TECHNICAL SKILLS Languages: English and Tagalog Applications: Microsoft Office Databases: Microsoft Access Specialized Equipment & Tools: CHA and Temescal eBeam Evaporators; Uniaxis ICP RIE and Dielectric Etchers; Karl Suuss MJB3 Aligners;Sputtering Tool; Alessi Prober RIE, Dielectric etchers EDUCATION Bachelor of Science in Chemical Engineering New Jersey Institute of Technology (NJIT), Newark, NJ