SlideShare ist ein Scribd-Unternehmen logo
1 von 31
Downloaden Sie, um offline zu lesen
London Open Source Meetup for RISC-V 19 April 2021
1
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Online Tutor
Fearghal Morgan1, Arthur Beretta2, Ian Gallivan1, Joseph Clancy1, FrĂŠdĂŠric Rousseau2, Roshan George1, LĂĄszlĂł BakĂł3, Frank Callaly1
1 National University of Ireland, Galway, Ireland (NUI Galway)
2 Univ. Grenoble Alpes, CNRS, Grenoble INP, TIMA
3SAPIENTIA - Hungarian University of Transylvania, Targu-Mures/Corunca
Links
1. Register www.vicilogic.com/register
2. Download presentation / access demos
3. Publications
London Open Source Meetup for RISC-V 19 April 2021
2
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Presentation Overview
• Introductory demos
• RISC-V introduction
• RISC-V Online Tutor course strategy and demo
• vicilogic Online learning, remote FPGA prototyping, course builder
• RISC-V Online Tutor application program demos
• RISC-V Online Tutor user experience and feedback, FPGA usage stats
• Inviting Community participation and collaboration / Future Work
London Open Source Meetup for RISC-V 19 April 2021
3
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Lessons interact with remote hardware
Course homepage
London Open Source Meetup for RISC-V 19 April 2021
4
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Lesson/Framework Demo
• Register-immediate instruction
Demo 1 Demo 2
• Guided lessons
• Widgets in view on left side
link to remote RISC-V hardware
• * * * highlight active datapaths
• Transparent FPGA allocation,
FPGA configuration,
browser-FPGA interaction
• Browse course (top left)
• (top right)
ALU
Arithmetic
Logic Unit
RB Register Bank x0-x15 x10/x11 LED display
London Open Source Meetup for RISC-V 19 April 2021
5
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
About
• Free, open Instruction Set Architecture (ISA) (Berkeley 2010)
• Growing community (RISC-V International, industry, university)
• Enabling new era of processor innovation
• through open standard collaboration
• Freedom in developing extensible software and hardware
• Custom ICs based on RISC-V will enable cost-effective IoT product differentiation
• Building custom chips becoming practical, due to evolution of electronic design
tools, and lower market entry to semiconductor manufacturing industry
• Sustainable choice for building custom chips, thanks to adoption/promotion
by major technology companies, industrial organisations, governments
(Gartner Report, June 20)
London Open Source Meetup for RISC-V 19 April 2021
6
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
International
• Bare Metal & Real-time OS on RISC-V
• Compliance & Verification
• Debug & Trace
• Formal Specification
• Physical Memory Protection
• The RISC-V Memory Model
• RISC-V Toolchain & Kernel Development
• RISC-V Academia & Training committee (biweekly meetings, Thurs)
Participation welcome
Training: Call For Participation
riscv.org
London Open Source Meetup for RISC-V 19 April 2021
7
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Presentation Overview
• RISC-V introduction
• RISC-V Online Tutor course strategy and demo
• vicilogic Online learning, remote FPGA prototyping, course builder
• RISC-V Online Tutor application program demos
• RISC-V Online Tutor user experience and feedback, FPGA usage stats
• Inviting Community participation and collaboration / Future Work
London Open Source Meetup for RISC-V 19 April 2021
8
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Visualising RV32I RISC-V Architecture
Single cycle datapath diagram Online Tutor datapath diagram
memory
PCPlus4
selB
ra/x1
sp/x2
gp/x3
tp/x4
t0/x5
t1/x6
t2/x7
4
extImm
zero/x0
WBDat
3
selWB
0
1
2
2
selDToM
sel
s1/x9
a0/x10
a1/x11
a2/x12
a3/x13
a4/x14
a5/x15
s0/fp/x8
2
rs1D
MWr selDFrM selWBD
MToWB
DToM
ALUOut
extImm
rs2D
ALUOp
branch
15 BGEU
14 BLTU
13 BGE
12 BLT
11 BNE
10 BEQ
9 SLTU
8 SLT
7 SRA
6 SRL
5 SLL
4 XOR
3 OR
2 AND
1 SUB A–B
0 ADD
ALU
add
sel
1
0
PC
selA
ALUBSrc
WB
MRd
selLdSlice
(15:0)
(7:0)
(7:0)
(15:0)
2 lb
0 lw
1 lh
4 lbu
3 lhu
24{7}
16{15}
24{’0'}
16{’0'}
DFrM
auipc
A
B
1
0
PC
1
0
jalr
+
base
offset
2 sb
0 sw
1 sh
(15:0)
(7:0)
16{’0'}
24{’0'}
rs2D
jalr
sel
PCSrc
brAdd
selDToM
2
selStSlice
rs2
rs1
5 5
RWr
RB
WBDat
DEC
rd
5
32-bit RISC-V processor
IM
instruction
PC
brAdd
0
1
selPCSrc
PCPlus4
4
+
PCCU
nPC
clk
rst
WB
ID EX MEM
IF
genBrAdd
EX
WB
IF ID EX MEM WB
memory
access
writeback
execute, address
calculation
decode
instruction instruction
fetch
[11] John Hennessy/David Patterson
London Open Source Meetup for RISC-V 19 April 2021
9
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Online Tutor: Audience/Strategy
• Target audience
• Professors/Students, Embedded Systems/IP Developers, Programmers
... interested in RISC-V Fundamentals; what’s Inside a RV32I RISC-V processor?
• Integrated training and practice (15 hours online, excluding labs)
• From RISC-V digital logic hardware to C programming
• Lesson strategies
• Online, self-paced
• Integrated with remote RISC-V hardware
• Interactive, learn-by-doing experience, visually-rich
• Guided-learning, sandboxes, knowledge checks
London Open Source Meetup for RISC-V 19 April 2021
10
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Course Structure
From RISC-V digital logic hardware to C programming
A. Front: introduction, resources, references
B. Tools tutorials
• Assembly language Venus [8] online simulator
• Remote RISC-V hardware: program instruction upload, execute, hardware debug
C. RV32I assembly instruction-by-instruction -- processor hardware support
D. RV32I RISC-V hardware design-to-prototype (HDL-based), with memory-mapped
peripherals, using industry-standard tools / hardware description language (HDL)
E. Assembly program applications (including games)
F. Advanced Topics: pipelining/hazards, C-to-assembly
Course homepage
London Open Source Meetup for RISC-V 19 April 2021
11
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Detailed Course Structure
Section/Topics Duration (min)
1. Intro, resources, references, application demos
+ 1. Introduction: RISC-V Processor Architecture & Applications 90
2. Learning Outcomes RV32I RISC-V instruction generator and viewer
2. RV32I RISC-V instruction generator and viewer
3. RISC-V references
4. Tour of vicilogic online learning and prototyping platform
+ 5. Game application demos (executing on remote RISC-V)
2. addi register-immediate instruction 120
3. Tools: Assembly program capture/simulation.
Program upload to remote hardware, execute and debug 120
4. RV32I instruction set index and supporting hardware architecture
+ 1. add/sub register-register 40
+ 2. Register-immediate addi, xori, ori, andi, slti, sltiu 60
+ 3. Register-register add, sub, xor, or, and, slt, sltu 20
+ 4. Constant-shift slli, srli, srai, register-shift sll, srl, sra 35
+ 5. lui load upper immediate 15
+ 6.beq, bne, blt, bge, bltu, bgeu branch
Program: delay loop 50
+ 7.Program: shift x10 register left each sec lui, add, addi, slli, bne 25
+ 8. Store/load to/from memory sw, sh, sb, lw, lh, lb, lhu, lbu 60
+ 9. auipc add upper immediate to PC 20
Section/Topics Duration (min)
5 RV32I Processor hardware design (HDL capture-to-prototype)
memory-mapped peripherals
Hardware Description Language model capture, simulation, logic synthesis
+ 1. Bldg blocks IF PCCU/IM, ID DEC/RB, EX EX/ALU, MEM memory, WB writeback 35
+ 2. RV32I processor HDL model - prototype on vicilogic remote FPGA hardware maj lab
+ 3. Memory-mapped peripheral components applications 45
Custom instruction addition (future work)
6. Assembly program applications (game app development)
+ 1. Functions and stack handling 40
+ 2. Game application development Major lab
7. Advanced Topics (pipelining/hazards, C-to-assembly)
+ 1. Pipelined RV32I RISC-V processor architecture, handling hazards 60
+ 2. C program compilation to RISC-V assembly 30
London Open Source Meetup for RISC-V 19 April 2021
12
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Presentation Overview
• RISC-V introduction
• RISC-V Online Tutor course strategy and demo
• vicilogic Online learning, remote FPGA prototyping, course builder
• RISC-V Online Tutor application program demos
• RISC-V Online Tutor user experience and feedback, FPGA usage stats
• Inviting Community participation and collaboration / Future Work
London Open Source Meetup for RISC-V 19 April 2021
13
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Online Learning/Prototyping/Course Builder
PYNQ-Z2
DE10-Nano
• Use remote (FPGA) hardware [20.3]
• ARM Processing System (controller)
and Programmable Logic (PL) (entity)
PL
Programmable
Logic
AXI
Client
Controller Entity
London Open Source Meetup for RISC-V 19 April 2021
14
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
• Architecture supports
• Centralised vicilogic FPGA resources
• Distributed FPGA resources
• Locally-connected FPGAs
Online Learning/Prototyping/Course Builder
[3]
London Open Source Meetup for RISC-V 19 April 2021
15
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
User Design (UD) RTL development
parse/wrap UD
Upload UD project to server
Create User Design view
Create UD lesson step
Include step in course
Execute course step
Generate FPGA config
bitstream and UD metadata
Online course builder (admin)
Online Course Builder Steps
UD User Design
HDL capture, simulation, logic synthesis
Wrapped UD
Probe
register
Control
register
AXI
Client
browser
vicilogic
server
Projects
Views
Lessons
Courses
Users
Groups
Controller
/entity
(cfg bitstream,
metadata)
Connect internal signals
to probe register
London Open Source Meetup for RISC-V 19 April 2021
16
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Online Course Builder Demo
Demo video: 4-bit counter
User Design (UD) RTL development
parse/wrap UD
Upload UD project to server
Create User Design view
Create UD lesson step
Include step in course
Execute course step
Generate FPGA config
bitstream and UD metadata
Online course builder (admin)
Uses lesson in course
Digital Systems Design and FPGA Prototyping:
Course builder
commands
Fundamentals, HDL and EDA tools
London Open Source Meetup for RISC-V 19 April 2021
17
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Presentation Overview
• RISC-V introduction
• RISC-V Online Tutor course strategy and demo
• vicilogic Online learning, remote FPGA prototyping, course builder
• RISC-V Online application program demos
• RISC-V Online Tutor user experience and feedback, FPGA usage stats
• Inviting Community participation and collaboration / Future Work
London Open Source Meetup for RISC-V 19 April 2021
18
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Memory Store/Load Instructions Demo
• Memory store/load
• Demonstrates
• Store/load data transfer
• Signal widget highlighting
memory
Register Bank
Instruction
memory
ALU
Program Counter
Control Unit
(PCCU)
London Open Source Meetup for RISC-V 19 April 2021
19
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
5-Stage Pipeline reg-imm Instruction Demo
• 5-stage RV32I pipeline
execution
instruction addi x1, x0, 0x35
• Demonstrates
• Pipelined register operation
• Interactive timing diagram
• Instruction stage execution
in pipeline table
Pipeline registers
Pipeline table
Timing diagram
ID
MEM
WB
IF
EX
London Open Source Meetup for RISC-V 19 April 2021
20
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Game Application Demos
• Game applications
• Assembly language
• Peripherals
• RNG counter
• In/out ports
• RISC-V debug interface
• Future
• extend peripherals for
development of range
of low-end embedded
applications
London Open Source Meetup for RISC-V 19 April 2021
21
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
5. RV32I Processor hardware design (HDL capture-to-prototype),
memory-mapped peripherals
Hardware Description Language model capture, simulation, logic synthesis
+ 1. Building blocks IF PCCU/IM, ID DEC/RB, EX EX/ALU, MEM memory, WB writeback
+ 2. RV32I processor HDL model - prototype on vicilogic remote FPGA hardware
+ 3. Memory-mapped peripheral components applications
Custom instruction addition (future work)
Hardware Design / RTL - Prototype
ALU
WB
PCCU
EX
memory
London Open Source Meetup for RISC-V 19 April 2021
22
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Top
RISCV0
RISCV1
IF
EX
WB ID
MEM
RISCV0
EX
WB
• Design hierarchy (dual core RV32I)
Hardware Design / RTL - Prototype
Electronic Design Automation EDA toolsuite
London Open Source Meetup for RISC-V 19 April 2021
23
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Presentation Overview
• RISC-V introduction
• RISC-V Online Tutor course strategy and demo
• vicilogic Online learning, remote FPGA prototyping, course builder
• RISC-V Online Tutor application program demos
• RISC-V Online Tutor user experience and feedback, FPGA usage stats
• Inviting Community participation and collaboration / Future Work
London Open Source Meetup for RISC-V 19 April 2021
24
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
User Experience / Feedback
• Course lesson ratings (1-10), 79%–84%, # respondents
Sep-Dec 2020
End of lesson surveys
London Open Source Meetup for RISC-V 19 April 2021
25
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
User Comments
• ”labs and exercises”
• ”interactive diagrams / coloured widgets”
• ”visualising internal signals and registers”
• ”combination of view and lesson text”
• ”video demos”
• ”breakdown of instruction step-by-step / thorough coverage”
• ”sandboxes”
• ”knowledge checks and challenges”
• ”example assembly program descriptions and demos”
• ”worked examples”
• ”good flow between reading text and understanding what was happening on hardware”
• ”instruction generator/viewer is really useful”
• ”star widgets highlighting active datapath (indicating where to look)”
• ”signals being shown at the top of the screen (to aid bit-level activity)”
• ”good pace. Being able to prove that I know this stuff”
What element(s) of the lessons did you find most useful?
London Open Source Meetup for RISC-V 19 April 2021
26
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
FPGA Usage Stats
• No. of remote FPGA sessions (45 users)
• 3 week period (Fri 23 Oct–Thu 12th Nov 2020)
• Each column colour segment highlights the
level of configuration/use of a specific FPGA
• b), c) contribution of individual users
• a) combined contribution of all users
• 112,000 FPGA configurations since Feb 2018
200
300
100
b)
c)
23Oct
a)
12Nov
No. of remote FPGA sessions
London Open Source Meetup for RISC-V 19 April 2021
27
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Presentation Overview
• RISC-V introduction
• RISC-V Online Tutor course strategy and demo
• vicilogic Online learning, remote FPGA prototyping, course builder
• RISC-V Online Tutor application program demos
• RISC-V Online Tutor user experience and feedback, FPGA usage stats
• Inviting Community participation and collaboration / Future Work
London Open Source Meetup for RISC-V 19 April 2021
28
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
• Access courses vicilogic.com/register/ (please share links)
• Available courses vicilogic.com/vicilearn/course/
•
• Digital Systems Design and FPGA Prototyping:
Fundamentals, HDL and EDA tools
• Callorate on course creation, e.g,
• RISC-V extensions
• Cache memory architectures
• other
Inviting Community Participation/Collaboration
London Open Source Meetup for RISC-V 19 April 2021
29
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
• Extend RISC-V peripherals, enabling low-end remote embedded apps
Future Work
AXI
Client
browser
inport
outport
Signal generators
Physical Peripherals
Sensors, actuators
VGA, I2C, SPI
Switch/LED
Peripheral
emulation
London Open Source Meetup for RISC-V 19 April 2021
30
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
• Embedded online assembler
• Integrate with courseware, with
• editor auto-load
• Assembler
• Program upload
• Debug UI
• Enable user remote hardware prototyping and UI creation
• Addition of custom instructions / hardware
• Extend C-to-assembly course content
Future Work
London Open Source Meetup for RISC-V 19 April 2021
31
fearghal.morgan@nuigalway.ie
Presentation https://tinyurl.com/BCSRISCVOnlineTutor
Thankyou
fearghal.morgan@nuigalway.ie

Weitere ähnliche Inhalte

Was ist angesagt?

Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and designSatya Harish
 
RISC-V & SoC Architectural Exploration for AI and ML Accelerators
RISC-V & SoC Architectural Exploration for AI and ML AcceleratorsRISC-V & SoC Architectural Exploration for AI and ML Accelerators
RISC-V & SoC Architectural Exploration for AI and ML AcceleratorsRISC-V International
 
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)Shinya Takamaeda-Y
 
Ripes tracking computer architecture throught visual and interactive simula...
Ripes   tracking computer architecture throught visual and interactive simula...Ripes   tracking computer architecture throught visual and interactive simula...
Ripes tracking computer architecture throught visual and interactive simula...RISC-V International
 
Introduction to open_sbi
Introduction to open_sbiIntroduction to open_sbi
Introduction to open_sbiNylon
 
Introduction to OpenCL, 2010
Introduction to OpenCL, 2010Introduction to OpenCL, 2010
Introduction to OpenCL, 2010Tomasz Bednarz
 
Memory model
Memory modelMemory model
Memory modelYi-Hsiu Hsu
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)Shivam Gupta
 
Easily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg asEasily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg asRISC-V International
 
Static partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VStatic partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VRISC-V International
 
SR-IOV Introduce
SR-IOV IntroduceSR-IOV Introduce
SR-IOV IntroduceLingfei Kong
 
Processors used in System on chip
Processors used in System on chip Processors used in System on chip
Processors used in System on chip A B Shinde
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipRISC-V International
 
HKG15-505: Power Management interactions with OP-TEE and Trusted Firmware
HKG15-505: Power Management interactions with OP-TEE and Trusted FirmwareHKG15-505: Power Management interactions with OP-TEE and Trusted Firmware
HKG15-505: Power Management interactions with OP-TEE and Trusted FirmwareLinaro
 

Was ist angesagt? (20)

Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and design
 
BeagleBone Black Booting Process
BeagleBone Black Booting ProcessBeagleBone Black Booting Process
BeagleBone Black Booting Process
 
Introduction to RISC-V
Introduction to RISC-VIntroduction to RISC-V
Introduction to RISC-V
 
RISC-V & SoC Architectural Exploration for AI and ML Accelerators
RISC-V & SoC Architectural Exploration for AI and ML AcceleratorsRISC-V & SoC Architectural Exploration for AI and ML Accelerators
RISC-V & SoC Architectural Exploration for AI and ML Accelerators
 
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
 
Pcie basic
Pcie basicPcie basic
Pcie basic
 
PCI Drivers
PCI DriversPCI Drivers
PCI Drivers
 
Ripes tracking computer architecture throught visual and interactive simula...
Ripes   tracking computer architecture throught visual and interactive simula...Ripes   tracking computer architecture throught visual and interactive simula...
Ripes tracking computer architecture throught visual and interactive simula...
 
Introduction to open_sbi
Introduction to open_sbiIntroduction to open_sbi
Introduction to open_sbi
 
Introduction to OpenCL, 2010
Introduction to OpenCL, 2010Introduction to OpenCL, 2010
Introduction to OpenCL, 2010
 
Memory model
Memory modelMemory model
Memory model
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
SOC design
SOC design SOC design
SOC design
 
Linux : PSCI
Linux : PSCILinux : PSCI
Linux : PSCI
 
Easily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg asEasily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg as
 
Static partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VStatic partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-V
 
SR-IOV Introduce
SR-IOV IntroduceSR-IOV Introduce
SR-IOV Introduce
 
Processors used in System on chip
Processors used in System on chip Processors used in System on chip
Processors used in System on chip
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket Chip
 
HKG15-505: Power Management interactions with OP-TEE and Trusted Firmware
HKG15-505: Power Management interactions with OP-TEE and Trusted FirmwareHKG15-505: Power Management interactions with OP-TEE and Trusted Firmware
HKG15-505: Power Management interactions with OP-TEE and Trusted Firmware
 

Ähnlich wie RISC-V Online Tutor

Archiver pilot phase kick off Award Ceremony
Archiver pilot phase kick off Award CeremonyArchiver pilot phase kick off Award Ceremony
Archiver pilot phase kick off Award CeremonyArchiver
 
Archiver pilot phase kick off Award Ceremony
Archiver pilot phase kick off Award CeremonyArchiver pilot phase kick off Award Ceremony
Archiver pilot phase kick off Award CeremonyArchiver
 
TPAC2016 - From Linked Building Data to Building Data on the Web
TPAC2016 - From Linked Building Data to Building Data on the WebTPAC2016 - From Linked Building Data to Building Data on the Web
TPAC2016 - From Linked Building Data to Building Data on the WebPieter Pauwels
 
UGent Research Projects on Linked Data in Architecture and Construction
UGent Research Projects on Linked Data in Architecture and ConstructionUGent Research Projects on Linked Data in Architecture and Construction
UGent Research Projects on Linked Data in Architecture and ConstructionPieter Pauwels
 
How to run Linux on RISC-V
How to run Linux on RISC-VHow to run Linux on RISC-V
How to run Linux on RISC-VDrew Fustini
 
12.10-16.40-RISC-V_Stefan_Wallentowitz.pdf
12.10-16.40-RISC-V_Stefan_Wallentowitz.pdf12.10-16.40-RISC-V_Stefan_Wallentowitz.pdf
12.10-16.40-RISC-V_Stefan_Wallentowitz.pdfMeryemSERTTAOLU
 
Project update - JoĂŁo Fernandes
Project update - JoĂŁo FernandesProject update - JoĂŁo Fernandes
Project update - JoĂŁo FernandesArchiver
 
How to run Linux on RISC-V (FOSS North 2020)
How to run Linux on RISC-V (FOSS North 2020)How to run Linux on RISC-V (FOSS North 2020)
How to run Linux on RISC-V (FOSS North 2020)Drew Fustini
 
Berlin Embedded Linux meetup: How to Linux on RISC-V
Berlin Embedded Linux meetup: How to Linux on RISC-VBerlin Embedded Linux meetup: How to Linux on RISC-V
Berlin Embedded Linux meetup: How to Linux on RISC-VDrew Fustini
 
ICN in the IRTF and IETF
ICN in the IRTF and IETFICN in the IRTF and IETF
ICN in the IRTF and IETFDirk Kutscher
 
RISC-V and open source chip design
RISC-V and open source chip designRISC-V and open source chip design
RISC-V and open source chip designDrew Fustini
 
Gerardo Carmona Embedded Engineer
Gerardo Carmona Embedded EngineerGerardo Carmona Embedded Engineer
Gerardo Carmona Embedded EngineerGerardo Carmona Ruiz
 
Linux on RISC-V (ELC 2020)
Linux on RISC-V (ELC 2020)Linux on RISC-V (ELC 2020)
Linux on RISC-V (ELC 2020)Drew Fustini
 
Archiver 3rd omc_project_overview
Archiver 3rd omc_project_overviewArchiver 3rd omc_project_overview
Archiver 3rd omc_project_overviewArchiver
 
CHIPS Alliance_Object Automation Inc_workshop
CHIPS Alliance_Object Automation Inc_workshopCHIPS Alliance_Object Automation Inc_workshop
CHIPS Alliance_Object Automation Inc_workshopObject Automation
 
RISC-V-Introduction-_-Aug-2021.pptx
RISC-V-Introduction-_-Aug-2021.pptxRISC-V-Introduction-_-Aug-2021.pptx
RISC-V-Introduction-_-Aug-2021.pptxssuser300b04
 
Educating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VEducating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VRISC-V International
 
Opencast Project Update at Open Apereo 2015
Opencast Project Update at Open Apereo 2015Opencast Project Update at Open Apereo 2015
Opencast Project Update at Open Apereo 2015Stephen Marquard
 

Ähnlich wie RISC-V Online Tutor (20)

Archiver pilot phase kick off Award Ceremony
Archiver pilot phase kick off Award CeremonyArchiver pilot phase kick off Award Ceremony
Archiver pilot phase kick off Award Ceremony
 
Archiver pilot phase kick off Award Ceremony
Archiver pilot phase kick off Award CeremonyArchiver pilot phase kick off Award Ceremony
Archiver pilot phase kick off Award Ceremony
 
TPAC2016 - From Linked Building Data to Building Data on the Web
TPAC2016 - From Linked Building Data to Building Data on the WebTPAC2016 - From Linked Building Data to Building Data on the Web
TPAC2016 - From Linked Building Data to Building Data on the Web
 
UGent Research Projects on Linked Data in Architecture and Construction
UGent Research Projects on Linked Data in Architecture and ConstructionUGent Research Projects on Linked Data in Architecture and Construction
UGent Research Projects on Linked Data in Architecture and Construction
 
How to run Linux on RISC-V
How to run Linux on RISC-VHow to run Linux on RISC-V
How to run Linux on RISC-V
 
RISC-V Unconstrained
RISC-V UnconstrainedRISC-V Unconstrained
RISC-V Unconstrained
 
12.10-16.40-RISC-V_Stefan_Wallentowitz.pdf
12.10-16.40-RISC-V_Stefan_Wallentowitz.pdf12.10-16.40-RISC-V_Stefan_Wallentowitz.pdf
12.10-16.40-RISC-V_Stefan_Wallentowitz.pdf
 
Project update - JoĂŁo Fernandes
Project update - JoĂŁo FernandesProject update - JoĂŁo Fernandes
Project update - JoĂŁo Fernandes
 
How to run Linux on RISC-V (FOSS North 2020)
How to run Linux on RISC-V (FOSS North 2020)How to run Linux on RISC-V (FOSS North 2020)
How to run Linux on RISC-V (FOSS North 2020)
 
Berlin Embedded Linux meetup: How to Linux on RISC-V
Berlin Embedded Linux meetup: How to Linux on RISC-VBerlin Embedded Linux meetup: How to Linux on RISC-V
Berlin Embedded Linux meetup: How to Linux on RISC-V
 
ICN in the IRTF and IETF
ICN in the IRTF and IETFICN in the IRTF and IETF
ICN in the IRTF and IETF
 
RISC-V and open source chip design
RISC-V and open source chip designRISC-V and open source chip design
RISC-V and open source chip design
 
Gerardo Carmona Embedded Engineer
Gerardo Carmona Embedded EngineerGerardo Carmona Embedded Engineer
Gerardo Carmona Embedded Engineer
 
Linux on RISC-V (ELC 2020)
Linux on RISC-V (ELC 2020)Linux on RISC-V (ELC 2020)
Linux on RISC-V (ELC 2020)
 
ASWF Open Source Forum 2020
ASWF Open Source Forum 2020ASWF Open Source Forum 2020
ASWF Open Source Forum 2020
 
Archiver 3rd omc_project_overview
Archiver 3rd omc_project_overviewArchiver 3rd omc_project_overview
Archiver 3rd omc_project_overview
 
CHIPS Alliance_Object Automation Inc_workshop
CHIPS Alliance_Object Automation Inc_workshopCHIPS Alliance_Object Automation Inc_workshop
CHIPS Alliance_Object Automation Inc_workshop
 
RISC-V-Introduction-_-Aug-2021.pptx
RISC-V-Introduction-_-Aug-2021.pptxRISC-V-Introduction-_-Aug-2021.pptx
RISC-V-Introduction-_-Aug-2021.pptx
 
Educating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VEducating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-V
 
Opencast Project Update at Open Apereo 2015
Opencast Project Update at Open Apereo 2015Opencast Project Update at Open Apereo 2015
Opencast Project Update at Open Apereo 2015
 

Mehr von RISC-V International

WD RISC-V inliner work effort
WD RISC-V inliner work effortWD RISC-V inliner work effort
WD RISC-V inliner work effortRISC-V International
 
London Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VLondon Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VRISC-V International
 
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...RISC-V International
 
Standardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VStandardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VRISC-V International
 
Semi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresSemi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresRISC-V International
 
Security and functional safety
Security and functional safetySecurity and functional safety
Security and functional safetyRISC-V International
 
RISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V International
 
RISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V International
 
RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V International
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V International
 
RISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V International
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the unionRISC-V International
 
Open source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeOpen source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeRISC-V International
 
Online test program generator for RISC-V processors
Online test program generator for RISC-V processorsOnline test program generator for RISC-V processors
Online test program generator for RISC-V processorsRISC-V International
 
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...RISC-V International
 
Gernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationGernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationRISC-V International
 

Mehr von RISC-V International (20)

WD RISC-V inliner work effort
WD RISC-V inliner work effortWD RISC-V inliner work effort
WD RISC-V inliner work effort
 
RISC-V Zce Extension
RISC-V Zce ExtensionRISC-V Zce Extension
RISC-V Zce Extension
 
London Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VLondon Open Source Meetup for RISC-V
London Open Source Meetup for RISC-V
 
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
 
Standardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VStandardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-V
 
Semi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresSemi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V cores
 
Security and functional safety
Security and functional safetySecurity and functional safety
Security and functional safety
 
RISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor Family
 
RISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_gen
 
RISC-V 30908 patra
RISC-V 30908 patraRISC-V 30908 patra
RISC-V 30908 patra
 
RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentor
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmware
 
RISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notes
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the union
 
Porting tock to open titan
Porting tock to open titanPorting tock to open titan
Porting tock to open titan
 
Open j9 jdk on RISC-V
Open j9 jdk on RISC-VOpen j9 jdk on RISC-V
Open j9 jdk on RISC-V
 
Open source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeOpen source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process node
 
Online test program generator for RISC-V processors
Online test program generator for RISC-V processorsOnline test program generator for RISC-V processors
Online test program generator for RISC-V processors
 
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
 
Gernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationGernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundation
 

KĂźrzlich hochgeladen

From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationSafe Software
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Servicegiselly40
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Scriptwesley chun
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Enterprise Knowledge
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘RTylerCroy
 
Developing An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilDeveloping An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilV3cube
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...Martijn de Jong
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024The Digital Insurer
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...gurkirankumar98700
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024The Digital Insurer
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...apidays
 

KĂźrzlich hochgeladen (20)

From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Developing An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilDeveloping An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of Brazil
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 

RISC-V Online Tutor

  • 1. London Open Source Meetup for RISC-V 19 April 2021 1 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Online Tutor Fearghal Morgan1, Arthur Beretta2, Ian Gallivan1, Joseph Clancy1, FrĂŠdĂŠric Rousseau2, Roshan George1, LĂĄszlĂł BakĂł3, Frank Callaly1 1 National University of Ireland, Galway, Ireland (NUI Galway) 2 Univ. Grenoble Alpes, CNRS, Grenoble INP, TIMA 3SAPIENTIA - Hungarian University of Transylvania, Targu-Mures/Corunca Links 1. Register www.vicilogic.com/register 2. Download presentation / access demos 3. Publications
  • 2. London Open Source Meetup for RISC-V 19 April 2021 2 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Presentation Overview • Introductory demos • RISC-V introduction • RISC-V Online Tutor course strategy and demo • vicilogic Online learning, remote FPGA prototyping, course builder • RISC-V Online Tutor application program demos • RISC-V Online Tutor user experience and feedback, FPGA usage stats • Inviting Community participation and collaboration / Future Work
  • 3. London Open Source Meetup for RISC-V 19 April 2021 3 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Lessons interact with remote hardware Course homepage
  • 4. London Open Source Meetup for RISC-V 19 April 2021 4 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Lesson/Framework Demo • Register-immediate instruction Demo 1 Demo 2 • Guided lessons • Widgets in view on left side link to remote RISC-V hardware • * * * highlight active datapaths • Transparent FPGA allocation, FPGA configuration, browser-FPGA interaction • Browse course (top left) • (top right) ALU Arithmetic Logic Unit RB Register Bank x0-x15 x10/x11 LED display
  • 5. London Open Source Meetup for RISC-V 19 April 2021 5 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor About • Free, open Instruction Set Architecture (ISA) (Berkeley 2010) • Growing community (RISC-V International, industry, university) • Enabling new era of processor innovation • through open standard collaboration • Freedom in developing extensible software and hardware • Custom ICs based on RISC-V will enable cost-effective IoT product differentiation • Building custom chips becoming practical, due to evolution of electronic design tools, and lower market entry to semiconductor manufacturing industry • Sustainable choice for building custom chips, thanks to adoption/promotion by major technology companies, industrial organisations, governments (Gartner Report, June 20)
  • 6. London Open Source Meetup for RISC-V 19 April 2021 6 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor International • Bare Metal & Real-time OS on RISC-V • Compliance & Verification • Debug & Trace • Formal Specification • Physical Memory Protection • The RISC-V Memory Model • RISC-V Toolchain & Kernel Development • RISC-V Academia & Training committee (biweekly meetings, Thurs) Participation welcome Training: Call For Participation riscv.org
  • 7. London Open Source Meetup for RISC-V 19 April 2021 7 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Presentation Overview • RISC-V introduction • RISC-V Online Tutor course strategy and demo • vicilogic Online learning, remote FPGA prototyping, course builder • RISC-V Online Tutor application program demos • RISC-V Online Tutor user experience and feedback, FPGA usage stats • Inviting Community participation and collaboration / Future Work
  • 8. London Open Source Meetup for RISC-V 19 April 2021 8 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Visualising RV32I RISC-V Architecture Single cycle datapath diagram Online Tutor datapath diagram memory PCPlus4 selB ra/x1 sp/x2 gp/x3 tp/x4 t0/x5 t1/x6 t2/x7 4 extImm zero/x0 WBDat 3 selWB 0 1 2 2 selDToM sel s1/x9 a0/x10 a1/x11 a2/x12 a3/x13 a4/x14 a5/x15 s0/fp/x8 2 rs1D MWr selDFrM selWBD MToWB DToM ALUOut extImm rs2D ALUOp branch 15 BGEU 14 BLTU 13 BGE 12 BLT 11 BNE 10 BEQ 9 SLTU 8 SLT 7 SRA 6 SRL 5 SLL 4 XOR 3 OR 2 AND 1 SUB A–B 0 ADD ALU add sel 1 0 PC selA ALUBSrc WB MRd selLdSlice (15:0) (7:0) (7:0) (15:0) 2 lb 0 lw 1 lh 4 lbu 3 lhu 24{7} 16{15} 24{’0'} 16{’0'} DFrM auipc A B 1 0 PC 1 0 jalr + base offset 2 sb 0 sw 1 sh (15:0) (7:0) 16{’0'} 24{’0'} rs2D jalr sel PCSrc brAdd selDToM 2 selStSlice rs2 rs1 5 5 RWr RB WBDat DEC rd 5 32-bit RISC-V processor IM instruction PC brAdd 0 1 selPCSrc PCPlus4 4 + PCCU nPC clk rst WB ID EX MEM IF genBrAdd EX WB IF ID EX MEM WB memory access writeback execute, address calculation decode instruction instruction fetch [11] John Hennessy/David Patterson
  • 9. London Open Source Meetup for RISC-V 19 April 2021 9 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Online Tutor: Audience/Strategy • Target audience • Professors/Students, Embedded Systems/IP Developers, Programmers ... interested in RISC-V Fundamentals; what’s Inside a RV32I RISC-V processor? • Integrated training and practice (15 hours online, excluding labs) • From RISC-V digital logic hardware to C programming • Lesson strategies • Online, self-paced • Integrated with remote RISC-V hardware • Interactive, learn-by-doing experience, visually-rich • Guided-learning, sandboxes, knowledge checks
  • 10. London Open Source Meetup for RISC-V 19 April 2021 10 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Course Structure From RISC-V digital logic hardware to C programming A. Front: introduction, resources, references B. Tools tutorials • Assembly language Venus [8] online simulator • Remote RISC-V hardware: program instruction upload, execute, hardware debug C. RV32I assembly instruction-by-instruction -- processor hardware support D. RV32I RISC-V hardware design-to-prototype (HDL-based), with memory-mapped peripherals, using industry-standard tools / hardware description language (HDL) E. Assembly program applications (including games) F. Advanced Topics: pipelining/hazards, C-to-assembly Course homepage
  • 11. London Open Source Meetup for RISC-V 19 April 2021 11 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Detailed Course Structure Section/Topics Duration (min) 1. Intro, resources, references, application demos + 1. Introduction: RISC-V Processor Architecture & Applications 90 2. Learning Outcomes RV32I RISC-V instruction generator and viewer 2. RV32I RISC-V instruction generator and viewer 3. RISC-V references 4. Tour of vicilogic online learning and prototyping platform + 5. Game application demos (executing on remote RISC-V) 2. addi register-immediate instruction 120 3. Tools: Assembly program capture/simulation. Program upload to remote hardware, execute and debug 120 4. RV32I instruction set index and supporting hardware architecture + 1. add/sub register-register 40 + 2. Register-immediate addi, xori, ori, andi, slti, sltiu 60 + 3. Register-register add, sub, xor, or, and, slt, sltu 20 + 4. Constant-shift slli, srli, srai, register-shift sll, srl, sra 35 + 5. lui load upper immediate 15 + 6.beq, bne, blt, bge, bltu, bgeu branch Program: delay loop 50 + 7.Program: shift x10 register left each sec lui, add, addi, slli, bne 25 + 8. Store/load to/from memory sw, sh, sb, lw, lh, lb, lhu, lbu 60 + 9. auipc add upper immediate to PC 20 Section/Topics Duration (min) 5 RV32I Processor hardware design (HDL capture-to-prototype) memory-mapped peripherals Hardware Description Language model capture, simulation, logic synthesis + 1. Bldg blocks IF PCCU/IM, ID DEC/RB, EX EX/ALU, MEM memory, WB writeback 35 + 2. RV32I processor HDL model - prototype on vicilogic remote FPGA hardware maj lab + 3. Memory-mapped peripheral components applications 45 Custom instruction addition (future work) 6. Assembly program applications (game app development) + 1. Functions and stack handling 40 + 2. Game application development Major lab 7. Advanced Topics (pipelining/hazards, C-to-assembly) + 1. Pipelined RV32I RISC-V processor architecture, handling hazards 60 + 2. C program compilation to RISC-V assembly 30
  • 12. London Open Source Meetup for RISC-V 19 April 2021 12 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Presentation Overview • RISC-V introduction • RISC-V Online Tutor course strategy and demo • vicilogic Online learning, remote FPGA prototyping, course builder • RISC-V Online Tutor application program demos • RISC-V Online Tutor user experience and feedback, FPGA usage stats • Inviting Community participation and collaboration / Future Work
  • 13. London Open Source Meetup for RISC-V 19 April 2021 13 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Online Learning/Prototyping/Course Builder PYNQ-Z2 DE10-Nano • Use remote (FPGA) hardware [20.3] • ARM Processing System (controller) and Programmable Logic (PL) (entity) PL Programmable Logic AXI Client Controller Entity
  • 14. London Open Source Meetup for RISC-V 19 April 2021 14 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor • Architecture supports • Centralised vicilogic FPGA resources • Distributed FPGA resources • Locally-connected FPGAs Online Learning/Prototyping/Course Builder [3]
  • 15. London Open Source Meetup for RISC-V 19 April 2021 15 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor User Design (UD) RTL development parse/wrap UD Upload UD project to server Create User Design view Create UD lesson step Include step in course Execute course step Generate FPGA config bitstream and UD metadata Online course builder (admin) Online Course Builder Steps UD User Design HDL capture, simulation, logic synthesis Wrapped UD Probe register Control register AXI Client browser vicilogic server Projects Views Lessons Courses Users Groups Controller /entity (cfg bitstream, metadata) Connect internal signals to probe register
  • 16. London Open Source Meetup for RISC-V 19 April 2021 16 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Online Course Builder Demo Demo video: 4-bit counter User Design (UD) RTL development parse/wrap UD Upload UD project to server Create User Design view Create UD lesson step Include step in course Execute course step Generate FPGA config bitstream and UD metadata Online course builder (admin) Uses lesson in course Digital Systems Design and FPGA Prototyping: Course builder commands Fundamentals, HDL and EDA tools
  • 17. London Open Source Meetup for RISC-V 19 April 2021 17 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Presentation Overview • RISC-V introduction • RISC-V Online Tutor course strategy and demo • vicilogic Online learning, remote FPGA prototyping, course builder • RISC-V Online application program demos • RISC-V Online Tutor user experience and feedback, FPGA usage stats • Inviting Community participation and collaboration / Future Work
  • 18. London Open Source Meetup for RISC-V 19 April 2021 18 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Memory Store/Load Instructions Demo • Memory store/load • Demonstrates • Store/load data transfer • Signal widget highlighting memory Register Bank Instruction memory ALU Program Counter Control Unit (PCCU)
  • 19. London Open Source Meetup for RISC-V 19 April 2021 19 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor 5-Stage Pipeline reg-imm Instruction Demo • 5-stage RV32I pipeline execution instruction addi x1, x0, 0x35 • Demonstrates • Pipelined register operation • Interactive timing diagram • Instruction stage execution in pipeline table Pipeline registers Pipeline table Timing diagram ID MEM WB IF EX
  • 20. London Open Source Meetup for RISC-V 19 April 2021 20 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Game Application Demos • Game applications • Assembly language • Peripherals • RNG counter • In/out ports • RISC-V debug interface • Future • extend peripherals for development of range of low-end embedded applications
  • 21. London Open Source Meetup for RISC-V 19 April 2021 21 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor 5. RV32I Processor hardware design (HDL capture-to-prototype), memory-mapped peripherals Hardware Description Language model capture, simulation, logic synthesis + 1. Building blocks IF PCCU/IM, ID DEC/RB, EX EX/ALU, MEM memory, WB writeback + 2. RV32I processor HDL model - prototype on vicilogic remote FPGA hardware + 3. Memory-mapped peripheral components applications Custom instruction addition (future work) Hardware Design / RTL - Prototype ALU WB PCCU EX memory
  • 22. London Open Source Meetup for RISC-V 19 April 2021 22 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Top RISCV0 RISCV1 IF EX WB ID MEM RISCV0 EX WB • Design hierarchy (dual core RV32I) Hardware Design / RTL - Prototype Electronic Design Automation EDA toolsuite
  • 23. London Open Source Meetup for RISC-V 19 April 2021 23 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Presentation Overview • RISC-V introduction • RISC-V Online Tutor course strategy and demo • vicilogic Online learning, remote FPGA prototyping, course builder • RISC-V Online Tutor application program demos • RISC-V Online Tutor user experience and feedback, FPGA usage stats • Inviting Community participation and collaboration / Future Work
  • 24. London Open Source Meetup for RISC-V 19 April 2021 24 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor User Experience / Feedback • Course lesson ratings (1-10), 79%–84%, # respondents Sep-Dec 2020 End of lesson surveys
  • 25. London Open Source Meetup for RISC-V 19 April 2021 25 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor User Comments • ”labs and exercises” • ”interactive diagrams / coloured widgets” • ”visualising internal signals and registers” • ”combination of view and lesson text” • ”video demos” • ”breakdown of instruction step-by-step / thorough coverage” • ”sandboxes” • ”knowledge checks and challenges” • ”example assembly program descriptions and demos” • ”worked examples” • ”good flow between reading text and understanding what was happening on hardware” • ”instruction generator/viewer is really useful” • ”star widgets highlighting active datapath (indicating where to look)” • ”signals being shown at the top of the screen (to aid bit-level activity)” • ”good pace. Being able to prove that I know this stuff” What element(s) of the lessons did you find most useful?
  • 26. London Open Source Meetup for RISC-V 19 April 2021 26 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor FPGA Usage Stats • No. of remote FPGA sessions (45 users) • 3 week period (Fri 23 Oct–Thu 12th Nov 2020) • Each column colour segment highlights the level of configuration/use of a specific FPGA • b), c) contribution of individual users • a) combined contribution of all users • 112,000 FPGA configurations since Feb 2018 200 300 100 b) c) 23Oct a) 12Nov No. of remote FPGA sessions
  • 27. London Open Source Meetup for RISC-V 19 April 2021 27 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Presentation Overview • RISC-V introduction • RISC-V Online Tutor course strategy and demo • vicilogic Online learning, remote FPGA prototyping, course builder • RISC-V Online Tutor application program demos • RISC-V Online Tutor user experience and feedback, FPGA usage stats • Inviting Community participation and collaboration / Future Work
  • 28. London Open Source Meetup for RISC-V 19 April 2021 28 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor • Access courses vicilogic.com/register/ (please share links) • Available courses vicilogic.com/vicilearn/course/ • • Digital Systems Design and FPGA Prototyping: Fundamentals, HDL and EDA tools • Callorate on course creation, e.g, • RISC-V extensions • Cache memory architectures • other Inviting Community Participation/Collaboration
  • 29. London Open Source Meetup for RISC-V 19 April 2021 29 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor • Extend RISC-V peripherals, enabling low-end remote embedded apps Future Work AXI Client browser inport outport Signal generators Physical Peripherals Sensors, actuators VGA, I2C, SPI Switch/LED Peripheral emulation
  • 30. London Open Source Meetup for RISC-V 19 April 2021 30 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor • Embedded online assembler • Integrate with courseware, with • editor auto-load • Assembler • Program upload • Debug UI • Enable user remote hardware prototyping and UI creation • Addition of custom instructions / hardware • Extend C-to-assembly course content Future Work
  • 31. London Open Source Meetup for RISC-V 19 April 2021 31 fearghal.morgan@nuigalway.ie Presentation https://tinyurl.com/BCSRISCVOnlineTutor Thankyou fearghal.morgan@nuigalway.ie