SlideShare ist ein Scribd-Unternehmen logo
1 von 24
Downloaden Sie, um offline zu lesen
ALD/CVD applications, equipment and
precursors in high volume manufacturing
Jonas Sundqvist, Ph.D, Fraunhofer IKTS / TECHCET CA LLC
0
500
1000
1500
0%
20%
40%
60%
80%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
High-k Material IP (Applications)
Al2O3 TiO2 HfO2 ZrO2
REO STO, BST etc. Ta2O5 Total
Introduction of ALD in 300mm HVM
200mm
CMC Seminar / ICMtia Joint Conf 10/2018
The driver behind ALD & CVD
300mm Equipment fab invest
ALD Equipment Market <25% of the total CVD Wafer
Equipment market
• Tokyo Electron has passed ASM
in revenue 2017
• 90% of the market is 300mm
wafer processing equipment
• TEL and Hitachi Kokusai
dominates Large Batch ALD
• 300 mm Spatial ALD and Multi
wafer tools continues taking
market shares vs. Single wafer &
Large Batch
4
25%
24%
16%
11%
7%
5%
3%
9%
ALD Equipment Market Estimate 2017
US$ 1.8 to 1.9 B
(Semi, PV, Display, MEMS, R&D)
Tokyo Electron
ASM International
Hitachi Kokusai Electric
Lam Research
Wonik IPS
Jusung Engineering
Applied Materials
Other
Trend in IP Filing for Spatial ALD
0%
5%
10%
15%
20%
25%
30%
35%
IP Applications for Spatial ALD
Source: Fraunhofer IKTS
Trend in IP Filing for Spatial ALD
0%
5%
10%
15%
20%
25%
30%
35%
IP Applications for Spatial ALD
TEL NT333 for thermal and plasma
ALD of SiO2, SiN, High-k
Source: Fraunhofer IKTS
Wafer forecast – growth drivers for ALD & CVD
For more information on TECHCET’s Wafer reports
please go to www.techcet.com
CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo
• Advanced logic benefits as 10 nm
followed by 7 nm moves from
qualification into production
• Multiple patterning (SADP, SAQP)
for logic and memory
• Completion of the NAND to 3D-
NAND transition as 3D will
account for 2/3 of the capacity in
2018 (VLSI Research, April 2018)
• Continued high demand for DRAM
• China investments in new Fabs
and expansions continue for logic
and memory
• Niche memory technologies like
spin-transfer torque MRAM (STT-
MRAM), Resistive RAM (RRAM),
Ferroelectric FET (FeFET) and
RAM (FRAM), and Cross-Point
memory will grow over the coming
5 years
Dielectric & High-k Materials IP Trends
8
0
200
400
600
800
1000
1200
1400
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
High-k Material IP (Applications)
Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total
0
500
1000
1500
2000
2500
3000
3500
4000
4500
5000
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
Dielectric & High-k Process IP (Applications)
CVD PECVD ALD SOD PVD Total Dielectric Deposition
Al2O3
TiO2
HfO2
ZrO2REO
STO, BST, PZT
Ta2O5
ALD
PECVD
CVD
SOD
PVD
Ta2O5, SrTiOx, BaSrTiOx and PZT 15 to 20 years ago.
Today most IP concerning the metaloxides of Al, Hf, Ti, Zr
and Rare Earth elements (SiO2 and SiN excluded)
ALD and PECVD are domianting filed IP in deposition of
dielectrics and high-k dielectrics (includeing SiO2 and SiN)
Source: Fraunhofer IKTS
Leading Semiconductor Materials Suppliers ($M’s)
Source:
TECHCET Critical Materials
Reports™
Excluding Silicon Wafers
0
200
400
600
800
1000
1200
1400
1600
1800
UpChem
Hayward
Tokuyama
Entegris
KFMI
Ferrotec
AZ/Merck
WonikQP
AsahiGlass
Wonik
Kyocera
DongWoo
Avantor
MacDermid
Kanto
Adeka
Fujimi
KMG
Honeywell
NGK
Heraeus/Shin-Etsu
Heraeus/Shin-Etsu
Tosoh
BASF
JXNippon
CoorsTek
AirProducts
Hitachi
TNSC-Matheson
Shin-Etsu-PR
TokyoOhkaKogyo
Sumitomo
Cabot
Versum
DowChem
Fujifilm
JSR
AirLiquide
Linde/Praxair
Leading Materials Suppliers 2017 Revenue / Ranking
Ceramics Quartz Gases Precursors/SOD Photoresists/Anc. CMP Targets Wet Chem/Cleans Metal Chemicals
CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo
Bulk/Rare
Gases
7%
Spec Gas
16% Liquide
Precursors
5%
Sputter
Targets
3%
Metal
Chemicals
(FE)
2%
Wet
Chemicals
8%CMP Pads &
Slurry
13%
Photoresists /
Ancillaries
27%
Eqt Parts: CMP
4%
Eqt Parts: Si
3%
Eqt Parts:
Quartz
5%
Eqt Parts: SiC /
Ceramics
7%
ALD/CVD Metal & High-k Precursors
10
TECHCET Critical Materials Report(TM)
• The combined markets for
ALD and CVD metal
precursors are estimated
to be approximately
US$460M 2018 and
above US$620M by 2023.
• Average long term CAGR
of 8% over 2013 to 2023
TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
ALD/CVD/SOD Dielectric Precursors
• Dielectric precursors show
growth in all segments for the
next 5 years reaching US$660
in 2023 M
• As for metal precursors, the
market has over an extended
period of time had a double
digit growth and we expect the
market to continue to develop
as the transition to 10 nm and
7 nm node logic and 3D-NAND
take place with an average long
term CAGR of 9% over 2015 to
2023
11
TECHCET Critical Materials Report(TM)
TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
FinFET logic at the 10/7 nm node
Intel
10 nm
TSMC
7 nm
Samsung
7 nm
Globalfoundries
7 nm
Process Name P1276 (CPU), P1277
(SoC)
7FF, 7FF+, 7HPC 7LPE 7LP
1st Production 2018 1Q/2018 2019 Cancelled
Lithography 193 nm Immersion 193 nm Immersion
/EUV
EUV 193 nm Immersion
Exposure SAQP SAQP/SE SE SAQP
Contacted Gate
Pitch (CPP)
54 54 54 56
Minimum Metal
Pitch (MMP)
36 40 36 40
Source : WikiChip
Multiple patterning
Critical Materials Conference, April 26-27, Phoenix AZ
David Bloss, Vice President, Technology Manufacturing Group and Director of
Lithography Technology Sourcing, Intel Corporation
Multiple patterning
Critical Materials Conference, April 26-27, Phoenix AZ
David Bloss, Vice President, Technology Manufacturing Group and Director of
Lithography Technology Sourcing, Intel Corporation
Lithography beyond 7nm for <24nm pitch
• No single lithography
technology can create <24nm
pitch patterns in a single pass
except for direct-write e-beam
which is too slow and
expensive for HVM.
• Multi-patterning process flows
can be scompared by Cost Per
Wafer Pass (CPWP), a term
defined as the cost-of-
ownership (CoO) Modeling for the evaluation of alternate 1D and 2D
patterning paths
Source: Ed Korczynski, TECHCET
CMC Conference 2018, April 27 2018, Phoenix
ALD
Alternatives – Directed self assembly (DSA)
IBM Research at Albany NanoTech, TEL Technology Center, America in Albany, GlobalFoundries, and IBM
Research TJ Watson in Yorktown Heights and IBM Research Almaden, San Jose.
Nature Electronics volume 1, pages562–569 (2018)
ALD
ALD ALD
I AIR LIQUIDE, THE WORLD LEADER IN GASES, TECHNOLOGIES AND SERVICES FOR INDUSTRY AND
HEALTH
∙
Critical Material Conference, Phoenix April 26th, 2018 •
THIS DOCUMENT IS ••PUBLIC
Cobalt applications and requirements
BEOL Cu metallization: 22nm →
Co capping layer
✓ Prevents Cu EM
Co liner
✓ Improve wettability
✓ Prevents voids
Source: Applied Materials Inc.
http://www.appliedmaterials.com/products/endura-volta-cvd-cobalt
Challenges
• Conformality, void-free deposition
• Contact resistance
MOL contact fill: <10nm →
Cu
Low-k
Co liner
Co cap
Barrier
Seed
Source: Applied Materials Inc.
Challenges for <10nm integration
• Conformality (low stability precursors)
• Adhesion becomes more challenging
• Selectivity
7
Cobalt IP Filing Trends for Chemical Compounds
Increased filing activity for Cobalt chemical compounds starting
2012. Applications focusing on Li-batteries & Electronic
applications (Plating chemicals, Precursors)
2012
Prices for Cobalt cathodes have increased by 60%
in 2017 but appear to be stabilized but volatile.
Cobalt IP Filing Trends for Chemical Compounds
Increased filing activity for Cobalt chemical compounds starting
2012. Applications focusing on Li-batteries & Electronic
applications (Plating chemicals, Precursors)
2012
Prices for Cobalt cathodes have increased by 60%
in 2017 but appear to be stabilized but volatile.
Higher demand from Cobalt use in Electric Vechicles
Competeing Industries
Competing industries for ALD precursors and the most important
industries in high volume manufacturing today are:
• Non-Semi Wafer based (e.g. MEMS and LED)
• QD-OLED and AMOLED Flexible display
• Photovoltaic
• R&D Equipment
20
From an ALD equipment perspective these markets account for 10 to
15% of the total annual revenue for ALD equipment (US$ 1.8 to 1.9 B). NCD Cluster system for Lucida™ GD series
OLED Encapsluation
6G half (1500mmX925mm)
PV – Back side passivation for PERC cells
driving TMA use in PECVD & ALD
Equipment & Process Market Share Estimate (%)
OEM Process
TMA
consumption
[mg / wafer]
2017 2018 2020 2022 2025 2028
Fullshare PECVD 9
90 92 86 87 87 83Centrotherm PECVD 5
Meyer Burger PECVD 2.9
Ideal Energy ALD 4
6 6 11 12 13 16
SoLayTec ALD 3
NCD ALD 2
Levitech Spatial ALD 1.6
Leadmicro Spatial ALD 1
Other PECVD SiNx n/a 4 2 2 1 0 0
21
TMA consumption and Al2O3 thickness for APCVD, PECVD
and ALD Tools (Adapted from TaiyangNews 2018).
The low TMA consumption is a reason why ALD tools
are slowly taking market share from PECVD, especially
in China
MEMS
In MEMS applications that consist of numerous 3D structures, narrow
cavities and the need of conformal coatings even in large buried
structures ALD is optimal for deposition in of layers with very specific
properties or combination thereof:
info@techcet.com 22
Large volume of MEMS for Smartphone market (Gyro, Sensors, Speakers, Microphones)
Leading MEMS and companies have implemented ALD i HVM
o Diffusion barriers
o Adhesion layers
o Charge dissipative layers
o Layers lowering frictional wear
o Optical layers
o Coatings for hermetical sealing
o Hydrophobic layers to decrease stiction
o Conformal, thermally conductive layers
o Conductive seed layers for plating purposes
o Etch masks and etch stop layers
o Conformal, electrically insulating layers
New 200 mm ALD Wafer
Cluster Tools
Picosun
Singel Wafer
Mini Batch
Veeco
Mini Batch
Beneq
Mini Batch
Oxford Instruments
PlasmaPro Cluster PECVD, ALD & ALE
Contact:
jonas.sundqvist@ikts.fraunhofer.de
jsundqvist@techcet.com
Thank you!

Weitere ähnliche Inhalte

Was ist angesagt?

Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement Yole Developpement
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Yole Developpement
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsBeneq
 
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...Yole Developpement
 
【Junior新趨勢_矽光子】
【Junior新趨勢_矽光子】【Junior新趨勢_矽光子】
【Junior新趨勢_矽光子】Collaborator
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole DeveloppementYole Developpement
 
Status of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementStatus of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementYole Developpement
 
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Yole Developpement
 
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...Yole Developpement
 
Introduction to atomic layer deposition (ALD): principles, applications, future
Introduction to atomic layer deposition (ALD): principles, applications, futureIntroduction to atomic layer deposition (ALD): principles, applications, future
Introduction to atomic layer deposition (ALD): principles, applications, futureRiikka Puurunen
 
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...Yole Developpement
 
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement Yole Developpement
 
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...Yole Developpement
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Yole Developpement
 
Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidescdtpv
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...Yole Developpement
 
Cambridge NanoTech ALD Tutorial
Cambridge NanoTech ALD TutorialCambridge NanoTech ALD Tutorial
Cambridge NanoTech ALD TutorialCambridgeNano
 
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Yole Developpement
 

Was ist angesagt? (20)

Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power Electronics
 
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
 
Semiconductor Industry Tutorial
Semiconductor Industry TutorialSemiconductor Industry Tutorial
Semiconductor Industry Tutorial
 
【Junior新趨勢_矽光子】
【Junior新趨勢_矽光子】【Junior新趨勢_矽光子】
【Junior新趨勢_矽光子】
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
 
Status of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementStatus of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole Développement
 
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
 
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
 
Introduction to atomic layer deposition (ALD): principles, applications, future
Introduction to atomic layer deposition (ALD): principles, applications, futureIntroduction to atomic layer deposition (ALD): principles, applications, future
Introduction to atomic layer deposition (ALD): principles, applications, future
 
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
 
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
 
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
 
Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxides
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
 
Cambridge NanoTech ALD Tutorial
Cambridge NanoTech ALD TutorialCambridge NanoTech ALD Tutorial
Cambridge NanoTech ALD Tutorial
 
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
 
Silicon Photonics 2021
Silicon Photonics 2021Silicon Photonics 2021
Silicon Photonics 2021
 

Ähnlich wie ALD/CVD applications, equipment and precursors in high volume manufacturing

Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production ScaleRoll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production ScaleBeneq
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009168report
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1CHENHuiMei
 
Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022Beneq
 
KEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioKEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioIvana Ivanovska
 
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Yole Developpement
 
Automotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinarAutomotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinarRandall Ghany
 
Ceramic Solutions Enabling the Evolution of Semiconductor Processing
Ceramic Solutions Enabling the Evolution of Semiconductor ProcessingCeramic Solutions Enabling the Evolution of Semiconductor Processing
Ceramic Solutions Enabling the Evolution of Semiconductor ProcessingCoorsTek, Inc.
 
Technological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingTechnological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingToradex
 
GaN on Silicon Patent Investigation Flyer
GaN on Silicon Patent Investigation FlyerGaN on Silicon Patent Investigation Flyer
GaN on Silicon Patent Investigation FlyerKnowmade
 
Will metal oxide compound the problem
Will metal oxide compound the problemWill metal oxide compound the problem
Will metal oxide compound the problemIan Hendy
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Yole Developpement
 
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)KEMET Electronics Corporation
 
Impact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key SectorsImpact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key SectorsJIC
 
7 growth opportunities 2012
7 growth opportunities 20127 growth opportunities 2012
7 growth opportunities 2012Ian Hendy
 
The Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdfThe Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdfBeneq
 
Gallium Nitride (GaN)
Gallium Nitride (GaN)Gallium Nitride (GaN)
Gallium Nitride (GaN)Gridlogics
 
Technology market trends in LED downconverters presentation held by Eric Vire...
Technology market trends in LED downconverters presentation held by Eric Vire...Technology market trends in LED downconverters presentation held by Eric Vire...
Technology market trends in LED downconverters presentation held by Eric Vire...Yole Developpement
 

Ähnlich wie ALD/CVD applications, equipment and precursors in high volume manufacturing (20)

Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production ScaleRoll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
 
Gnkaerospace 3d 2014
Gnkaerospace 3d 2014 Gnkaerospace 3d 2014
Gnkaerospace 3d 2014
 
GNK Aerospace 2014
GNK Aerospace 2014GNK Aerospace 2014
GNK Aerospace 2014
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1
 
Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022
 
KEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioKEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolio
 
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...
 
Automotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinarAutomotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinar
 
Ceramic Solutions Enabling the Evolution of Semiconductor Processing
Ceramic Solutions Enabling the Evolution of Semiconductor ProcessingCeramic Solutions Enabling the Evolution of Semiconductor Processing
Ceramic Solutions Enabling the Evolution of Semiconductor Processing
 
Technological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingTechnological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and Manufacturing
 
GaN on Silicon Patent Investigation Flyer
GaN on Silicon Patent Investigation FlyerGaN on Silicon Patent Investigation Flyer
GaN on Silicon Patent Investigation Flyer
 
Will metal oxide compound the problem
Will metal oxide compound the problemWill metal oxide compound the problem
Will metal oxide compound the problem
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
 
Impact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key SectorsImpact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key Sectors
 
7 growth opportunities 2012
7 growth opportunities 20127 growth opportunities 2012
7 growth opportunities 2012
 
The Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdfThe Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdf
 
Gallium Nitride (GaN)
Gallium Nitride (GaN)Gallium Nitride (GaN)
Gallium Nitride (GaN)
 
Technology market trends in LED downconverters presentation held by Eric Vire...
Technology market trends in LED downconverters presentation held by Eric Vire...Technology market trends in LED downconverters presentation held by Eric Vire...
Technology market trends in LED downconverters presentation held by Eric Vire...
 

Kürzlich hochgeladen

Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VDineshKumar4165
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfRagavanV2
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startQuintin Balsdon
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...roncy bisnoi
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTbhaskargani46
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . pptDineshKumar4165
 
Intro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdfIntro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdfrs7054576148
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxJuliansyahHarahap1
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptNANDHAKUMARA10
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptMsecMca
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...Call Girls in Nagpur High Profile
 
Unit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfUnit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfRagavanV2
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfKamal Acharya
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 

Kürzlich hochgeladen (20)

Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdf
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
Intro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdfIntro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdf
 
NFPA 5000 2024 standard .
NFPA 5000 2024 standard                                  .NFPA 5000 2024 standard                                  .
NFPA 5000 2024 standard .
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
 
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
Unit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfUnit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdf
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 

ALD/CVD applications, equipment and precursors in high volume manufacturing

  • 1. ALD/CVD applications, equipment and precursors in high volume manufacturing Jonas Sundqvist, Ph.D, Fraunhofer IKTS / TECHCET CA LLC 0 500 1000 1500 0% 20% 40% 60% 80% 100% 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 High-k Material IP (Applications) Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total
  • 2. Introduction of ALD in 300mm HVM 200mm
  • 3. CMC Seminar / ICMtia Joint Conf 10/2018 The driver behind ALD & CVD 300mm Equipment fab invest
  • 4. ALD Equipment Market <25% of the total CVD Wafer Equipment market • Tokyo Electron has passed ASM in revenue 2017 • 90% of the market is 300mm wafer processing equipment • TEL and Hitachi Kokusai dominates Large Batch ALD • 300 mm Spatial ALD and Multi wafer tools continues taking market shares vs. Single wafer & Large Batch 4 25% 24% 16% 11% 7% 5% 3% 9% ALD Equipment Market Estimate 2017 US$ 1.8 to 1.9 B (Semi, PV, Display, MEMS, R&D) Tokyo Electron ASM International Hitachi Kokusai Electric Lam Research Wonik IPS Jusung Engineering Applied Materials Other
  • 5. Trend in IP Filing for Spatial ALD 0% 5% 10% 15% 20% 25% 30% 35% IP Applications for Spatial ALD Source: Fraunhofer IKTS
  • 6. Trend in IP Filing for Spatial ALD 0% 5% 10% 15% 20% 25% 30% 35% IP Applications for Spatial ALD TEL NT333 for thermal and plasma ALD of SiO2, SiN, High-k Source: Fraunhofer IKTS
  • 7. Wafer forecast – growth drivers for ALD & CVD For more information on TECHCET’s Wafer reports please go to www.techcet.com CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo • Advanced logic benefits as 10 nm followed by 7 nm moves from qualification into production • Multiple patterning (SADP, SAQP) for logic and memory • Completion of the NAND to 3D- NAND transition as 3D will account for 2/3 of the capacity in 2018 (VLSI Research, April 2018) • Continued high demand for DRAM • China investments in new Fabs and expansions continue for logic and memory • Niche memory technologies like spin-transfer torque MRAM (STT- MRAM), Resistive RAM (RRAM), Ferroelectric FET (FeFET) and RAM (FRAM), and Cross-Point memory will grow over the coming 5 years
  • 8. Dielectric & High-k Materials IP Trends 8 0 200 400 600 800 1000 1200 1400 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 High-k Material IP (Applications) Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 Dielectric & High-k Process IP (Applications) CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 ZrO2REO STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD Ta2O5, SrTiOx, BaSrTiOx and PZT 15 to 20 years ago. Today most IP concerning the metaloxides of Al, Hf, Ti, Zr and Rare Earth elements (SiO2 and SiN excluded) ALD and PECVD are domianting filed IP in deposition of dielectrics and high-k dielectrics (includeing SiO2 and SiN) Source: Fraunhofer IKTS
  • 9. Leading Semiconductor Materials Suppliers ($M’s) Source: TECHCET Critical Materials Reports™ Excluding Silicon Wafers 0 200 400 600 800 1000 1200 1400 1600 1800 UpChem Hayward Tokuyama Entegris KFMI Ferrotec AZ/Merck WonikQP AsahiGlass Wonik Kyocera DongWoo Avantor MacDermid Kanto Adeka Fujimi KMG Honeywell NGK Heraeus/Shin-Etsu Heraeus/Shin-Etsu Tosoh BASF JXNippon CoorsTek AirProducts Hitachi TNSC-Matheson Shin-Etsu-PR TokyoOhkaKogyo Sumitomo Cabot Versum DowChem Fujifilm JSR AirLiquide Linde/Praxair Leading Materials Suppliers 2017 Revenue / Ranking Ceramics Quartz Gases Precursors/SOD Photoresists/Anc. CMP Targets Wet Chem/Cleans Metal Chemicals CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo Bulk/Rare Gases 7% Spec Gas 16% Liquide Precursors 5% Sputter Targets 3% Metal Chemicals (FE) 2% Wet Chemicals 8%CMP Pads & Slurry 13% Photoresists / Ancillaries 27% Eqt Parts: CMP 4% Eqt Parts: Si 3% Eqt Parts: Quartz 5% Eqt Parts: SiC / Ceramics 7%
  • 10. ALD/CVD Metal & High-k Precursors 10 TECHCET Critical Materials Report(TM) • The combined markets for ALD and CVD metal precursors are estimated to be approximately US$460M 2018 and above US$620M by 2023. • Average long term CAGR of 8% over 2013 to 2023 TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
  • 11. ALD/CVD/SOD Dielectric Precursors • Dielectric precursors show growth in all segments for the next 5 years reaching US$660 in 2023 M • As for metal precursors, the market has over an extended period of time had a double digit growth and we expect the market to continue to develop as the transition to 10 nm and 7 nm node logic and 3D-NAND take place with an average long term CAGR of 9% over 2015 to 2023 11 TECHCET Critical Materials Report(TM) TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
  • 12. FinFET logic at the 10/7 nm node Intel 10 nm TSMC 7 nm Samsung 7 nm Globalfoundries 7 nm Process Name P1276 (CPU), P1277 (SoC) 7FF, 7FF+, 7HPC 7LPE 7LP 1st Production 2018 1Q/2018 2019 Cancelled Lithography 193 nm Immersion 193 nm Immersion /EUV EUV 193 nm Immersion Exposure SAQP SAQP/SE SE SAQP Contacted Gate Pitch (CPP) 54 54 54 56 Minimum Metal Pitch (MMP) 36 40 36 40 Source : WikiChip
  • 13. Multiple patterning Critical Materials Conference, April 26-27, Phoenix AZ David Bloss, Vice President, Technology Manufacturing Group and Director of Lithography Technology Sourcing, Intel Corporation
  • 14. Multiple patterning Critical Materials Conference, April 26-27, Phoenix AZ David Bloss, Vice President, Technology Manufacturing Group and Director of Lithography Technology Sourcing, Intel Corporation
  • 15. Lithography beyond 7nm for <24nm pitch • No single lithography technology can create <24nm pitch patterns in a single pass except for direct-write e-beam which is too slow and expensive for HVM. • Multi-patterning process flows can be scompared by Cost Per Wafer Pass (CPWP), a term defined as the cost-of- ownership (CoO) Modeling for the evaluation of alternate 1D and 2D patterning paths Source: Ed Korczynski, TECHCET CMC Conference 2018, April 27 2018, Phoenix ALD
  • 16. Alternatives – Directed self assembly (DSA) IBM Research at Albany NanoTech, TEL Technology Center, America in Albany, GlobalFoundries, and IBM Research TJ Watson in Yorktown Heights and IBM Research Almaden, San Jose. Nature Electronics volume 1, pages562–569 (2018) ALD ALD ALD
  • 17. I AIR LIQUIDE, THE WORLD LEADER IN GASES, TECHNOLOGIES AND SERVICES FOR INDUSTRY AND HEALTH ∙ Critical Material Conference, Phoenix April 26th, 2018 • THIS DOCUMENT IS ••PUBLIC Cobalt applications and requirements BEOL Cu metallization: 22nm → Co capping layer ✓ Prevents Cu EM Co liner ✓ Improve wettability ✓ Prevents voids Source: Applied Materials Inc. http://www.appliedmaterials.com/products/endura-volta-cvd-cobalt Challenges • Conformality, void-free deposition • Contact resistance MOL contact fill: <10nm → Cu Low-k Co liner Co cap Barrier Seed Source: Applied Materials Inc. Challenges for <10nm integration • Conformality (low stability precursors) • Adhesion becomes more challenging • Selectivity 7
  • 18. Cobalt IP Filing Trends for Chemical Compounds Increased filing activity for Cobalt chemical compounds starting 2012. Applications focusing on Li-batteries & Electronic applications (Plating chemicals, Precursors) 2012 Prices for Cobalt cathodes have increased by 60% in 2017 but appear to be stabilized but volatile.
  • 19. Cobalt IP Filing Trends for Chemical Compounds Increased filing activity for Cobalt chemical compounds starting 2012. Applications focusing on Li-batteries & Electronic applications (Plating chemicals, Precursors) 2012 Prices for Cobalt cathodes have increased by 60% in 2017 but appear to be stabilized but volatile. Higher demand from Cobalt use in Electric Vechicles
  • 20. Competeing Industries Competing industries for ALD precursors and the most important industries in high volume manufacturing today are: • Non-Semi Wafer based (e.g. MEMS and LED) • QD-OLED and AMOLED Flexible display • Photovoltaic • R&D Equipment 20 From an ALD equipment perspective these markets account for 10 to 15% of the total annual revenue for ALD equipment (US$ 1.8 to 1.9 B). NCD Cluster system for Lucida™ GD series OLED Encapsluation 6G half (1500mmX925mm)
  • 21. PV – Back side passivation for PERC cells driving TMA use in PECVD & ALD Equipment & Process Market Share Estimate (%) OEM Process TMA consumption [mg / wafer] 2017 2018 2020 2022 2025 2028 Fullshare PECVD 9 90 92 86 87 87 83Centrotherm PECVD 5 Meyer Burger PECVD 2.9 Ideal Energy ALD 4 6 6 11 12 13 16 SoLayTec ALD 3 NCD ALD 2 Levitech Spatial ALD 1.6 Leadmicro Spatial ALD 1 Other PECVD SiNx n/a 4 2 2 1 0 0 21 TMA consumption and Al2O3 thickness for APCVD, PECVD and ALD Tools (Adapted from TaiyangNews 2018). The low TMA consumption is a reason why ALD tools are slowly taking market share from PECVD, especially in China
  • 22. MEMS In MEMS applications that consist of numerous 3D structures, narrow cavities and the need of conformal coatings even in large buried structures ALD is optimal for deposition in of layers with very specific properties or combination thereof: info@techcet.com 22 Large volume of MEMS for Smartphone market (Gyro, Sensors, Speakers, Microphones) Leading MEMS and companies have implemented ALD i HVM o Diffusion barriers o Adhesion layers o Charge dissipative layers o Layers lowering frictional wear o Optical layers o Coatings for hermetical sealing o Hydrophobic layers to decrease stiction o Conformal, thermally conductive layers o Conductive seed layers for plating purposes o Etch masks and etch stop layers o Conformal, electrically insulating layers
  • 23. New 200 mm ALD Wafer Cluster Tools Picosun Singel Wafer Mini Batch Veeco Mini Batch Beneq Mini Batch Oxford Instruments PlasmaPro Cluster PECVD, ALD & ALE