3. CMC Seminar / ICMtia Joint Conf 10/2018
The driver behind ALD & CVD
300mm Equipment fab invest
4. ALD Equipment Market <25% of the total CVD Wafer
Equipment market
• Tokyo Electron has passed ASM
in revenue 2017
• 90% of the market is 300mm
wafer processing equipment
• TEL and Hitachi Kokusai
dominates Large Batch ALD
• 300 mm Spatial ALD and Multi
wafer tools continues taking
market shares vs. Single wafer &
Large Batch
4
25%
24%
16%
11%
7%
5%
3%
9%
ALD Equipment Market Estimate 2017
US$ 1.8 to 1.9 B
(Semi, PV, Display, MEMS, R&D)
Tokyo Electron
ASM International
Hitachi Kokusai Electric
Lam Research
Wonik IPS
Jusung Engineering
Applied Materials
Other
5. Trend in IP Filing for Spatial ALD
0%
5%
10%
15%
20%
25%
30%
35%
IP Applications for Spatial ALD
Source: Fraunhofer IKTS
6. Trend in IP Filing for Spatial ALD
0%
5%
10%
15%
20%
25%
30%
35%
IP Applications for Spatial ALD
TEL NT333 for thermal and plasma
ALD of SiO2, SiN, High-k
Source: Fraunhofer IKTS
7. Wafer forecast – growth drivers for ALD & CVD
For more information on TECHCET’s Wafer reports
please go to www.techcet.com
CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo
• Advanced logic benefits as 10 nm
followed by 7 nm moves from
qualification into production
• Multiple patterning (SADP, SAQP)
for logic and memory
• Completion of the NAND to 3D-
NAND transition as 3D will
account for 2/3 of the capacity in
2018 (VLSI Research, April 2018)
• Continued high demand for DRAM
• China investments in new Fabs
and expansions continue for logic
and memory
• Niche memory technologies like
spin-transfer torque MRAM (STT-
MRAM), Resistive RAM (RRAM),
Ferroelectric FET (FeFET) and
RAM (FRAM), and Cross-Point
memory will grow over the coming
5 years
8. Dielectric & High-k Materials IP Trends
8
0
200
400
600
800
1000
1200
1400
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
High-k Material IP (Applications)
Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total
0
500
1000
1500
2000
2500
3000
3500
4000
4500
5000
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
Dielectric & High-k Process IP (Applications)
CVD PECVD ALD SOD PVD Total Dielectric Deposition
Al2O3
TiO2
HfO2
ZrO2REO
STO, BST, PZT
Ta2O5
ALD
PECVD
CVD
SOD
PVD
Ta2O5, SrTiOx, BaSrTiOx and PZT 15 to 20 years ago.
Today most IP concerning the metaloxides of Al, Hf, Ti, Zr
and Rare Earth elements (SiO2 and SiN excluded)
ALD and PECVD are domianting filed IP in deposition of
dielectrics and high-k dielectrics (includeing SiO2 and SiN)
Source: Fraunhofer IKTS
10. ALD/CVD Metal & High-k Precursors
10
TECHCET Critical Materials Report(TM)
• The combined markets for
ALD and CVD metal
precursors are estimated
to be approximately
US$460M 2018 and
above US$620M by 2023.
• Average long term CAGR
of 8% over 2013 to 2023
TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
11. ALD/CVD/SOD Dielectric Precursors
• Dielectric precursors show
growth in all segments for the
next 5 years reaching US$660
in 2023 M
• As for metal precursors, the
market has over an extended
period of time had a double
digit growth and we expect the
market to continue to develop
as the transition to 10 nm and
7 nm node logic and 3D-NAND
take place with an average long
term CAGR of 9% over 2015 to
2023
11
TECHCET Critical Materials Report(TM)
TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
13. Multiple patterning
Critical Materials Conference, April 26-27, Phoenix AZ
David Bloss, Vice President, Technology Manufacturing Group and Director of
Lithography Technology Sourcing, Intel Corporation
14. Multiple patterning
Critical Materials Conference, April 26-27, Phoenix AZ
David Bloss, Vice President, Technology Manufacturing Group and Director of
Lithography Technology Sourcing, Intel Corporation
15. Lithography beyond 7nm for <24nm pitch
• No single lithography
technology can create <24nm
pitch patterns in a single pass
except for direct-write e-beam
which is too slow and
expensive for HVM.
• Multi-patterning process flows
can be scompared by Cost Per
Wafer Pass (CPWP), a term
defined as the cost-of-
ownership (CoO) Modeling for the evaluation of alternate 1D and 2D
patterning paths
Source: Ed Korczynski, TECHCET
CMC Conference 2018, April 27 2018, Phoenix
ALD
16. Alternatives – Directed self assembly (DSA)
IBM Research at Albany NanoTech, TEL Technology Center, America in Albany, GlobalFoundries, and IBM
Research TJ Watson in Yorktown Heights and IBM Research Almaden, San Jose.
Nature Electronics volume 1, pages562–569 (2018)
ALD
ALD ALD
17. I AIR LIQUIDE, THE WORLD LEADER IN GASES, TECHNOLOGIES AND SERVICES FOR INDUSTRY AND
HEALTH
∙
Critical Material Conference, Phoenix April 26th, 2018 •
THIS DOCUMENT IS ••PUBLIC
Cobalt applications and requirements
BEOL Cu metallization: 22nm →
Co capping layer
✓ Prevents Cu EM
Co liner
✓ Improve wettability
✓ Prevents voids
Source: Applied Materials Inc.
http://www.appliedmaterials.com/products/endura-volta-cvd-cobalt
Challenges
• Conformality, void-free deposition
• Contact resistance
MOL contact fill: <10nm →
Cu
Low-k
Co liner
Co cap
Barrier
Seed
Source: Applied Materials Inc.
Challenges for <10nm integration
• Conformality (low stability precursors)
• Adhesion becomes more challenging
• Selectivity
7
18. Cobalt IP Filing Trends for Chemical Compounds
Increased filing activity for Cobalt chemical compounds starting
2012. Applications focusing on Li-batteries & Electronic
applications (Plating chemicals, Precursors)
2012
Prices for Cobalt cathodes have increased by 60%
in 2017 but appear to be stabilized but volatile.
19. Cobalt IP Filing Trends for Chemical Compounds
Increased filing activity for Cobalt chemical compounds starting
2012. Applications focusing on Li-batteries & Electronic
applications (Plating chemicals, Precursors)
2012
Prices for Cobalt cathodes have increased by 60%
in 2017 but appear to be stabilized but volatile.
Higher demand from Cobalt use in Electric Vechicles
20. Competeing Industries
Competing industries for ALD precursors and the most important
industries in high volume manufacturing today are:
• Non-Semi Wafer based (e.g. MEMS and LED)
• QD-OLED and AMOLED Flexible display
• Photovoltaic
• R&D Equipment
20
From an ALD equipment perspective these markets account for 10 to
15% of the total annual revenue for ALD equipment (US$ 1.8 to 1.9 B). NCD Cluster system for Lucida™ GD series
OLED Encapsluation
6G half (1500mmX925mm)
21. PV – Back side passivation for PERC cells
driving TMA use in PECVD & ALD
Equipment & Process Market Share Estimate (%)
OEM Process
TMA
consumption
[mg / wafer]
2017 2018 2020 2022 2025 2028
Fullshare PECVD 9
90 92 86 87 87 83Centrotherm PECVD 5
Meyer Burger PECVD 2.9
Ideal Energy ALD 4
6 6 11 12 13 16
SoLayTec ALD 3
NCD ALD 2
Levitech Spatial ALD 1.6
Leadmicro Spatial ALD 1
Other PECVD SiNx n/a 4 2 2 1 0 0
21
TMA consumption and Al2O3 thickness for APCVD, PECVD
and ALD Tools (Adapted from TaiyangNews 2018).
The low TMA consumption is a reason why ALD tools
are slowly taking market share from PECVD, especially
in China
22. MEMS
In MEMS applications that consist of numerous 3D structures, narrow
cavities and the need of conformal coatings even in large buried
structures ALD is optimal for deposition in of layers with very specific
properties or combination thereof:
info@techcet.com 22
Large volume of MEMS for Smartphone market (Gyro, Sensors, Speakers, Microphones)
Leading MEMS and companies have implemented ALD i HVM
o Diffusion barriers
o Adhesion layers
o Charge dissipative layers
o Layers lowering frictional wear
o Optical layers
o Coatings for hermetical sealing
o Hydrophobic layers to decrease stiction
o Conformal, thermally conductive layers
o Conductive seed layers for plating purposes
o Etch masks and etch stop layers
o Conformal, electrically insulating layers
23. New 200 mm ALD Wafer
Cluster Tools
Picosun
Singel Wafer
Mini Batch
Veeco
Mini Batch
Beneq
Mini Batch
Oxford Instruments
PlasmaPro Cluster PECVD, ALD & ALE