SlideShare ist ein Scribd-Unternehmen logo
1 von 26
Downloaden Sie, um offline zu lesen
© Fraunhofer
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN
HIGH VOLUME MANUFACTURING
EFDS ALD for Industry 2019, Berlin 19-20 Marck 2019
Jonas Sundqvist
1
© Fraunhofer
Recap - Introduction of ALD in 300 mm HVM
200mm
© Fraunhofer
CMC Seminar / ICMtia Joint Conf 10/2018
The driver behind ALD & CVD
300mm Equipment fab invest
© Fraunhofer 4
 VLSI Research report well above
average growth for ASML (NL),
Tokyo Electron (JPN), Advantest
(JPN), Kokusai (JPN), Daifuku
(JPN) and Canon (JPN) so a big
win for Japan and the
Netherlands last year. All
Japanese companies
outperform the market growth
2018!
 Judging by ASMI, TEL and
Kokusai it seems that ALD was
able to capture all of the growth
in 2018 and maybe a bit more.
© Fraunhofer
ALD Equipment Market <25% of the total CVD Wafer Equipment market
 Tokyo Electron has passed ASM in revenue 2017
 90% of the market is 300mm wafer processing
equipment
 TEL and Hitachi Kokusai dominates Large Batch ALD
 300 mm Spatial ALD and Multi wafer tools continues
taking market shares vs. Single wafer & Large Batch
5
25%
24%
16%
11%
7%
5%
3%
9%
ALD Equipment Market Estimate 2017
US$ 1.8 to 1.9 B
(Semi, PV, Display, MEMS, R&D)
Tokyo Electron
ASM International
Hitachi Kokusai Electric
Lam Research
Wonik IPS
Jusung Engineering
Applied Materials
Other
Estimate for 2018 is >US$ 2.0 B
2017 & 2018 Japanese Companies are growing in ALD
(Tokyo Electron and Kokusai)
© Fraunhofer
Trend in IP Filing for Spatial ALD
0%
5%
10%
15%
20%
25%
30%
35%
IP Applications for Spatial ALD
Source: Fraunhofer IKTS
© Fraunhofer
Trend in IP Filing for Spatial ALD
0%
5%
10%
15%
20%
25%
30%
35%
IP Applications for Spatial ALD
TEL NT333 for thermal and plasma
ALD of SiO2, SiN, High-k
© Fraunhofer
High productivity Single Wafer Platform - ASM XP8 and XP8 QCM
Quad chamber modules (QCMs) 30, 32, 34, and 36 are connected to
four side surfaces of the vacuum chamber 22. Each QCM is a module
having four reactor chambers (RC1 to RC4). Processing such as
plasma film forming processing is performed on a substrate in each
reactor chamber. (ASM Patent application US20170278074A1)
The new ASM XP8 QCM up to 16 chamber ALD/CVD
tool
© Fraunhofer
Wafer forecast – growth drivers for ALD & CVD
For more information on TECHCET’s Wafer reports
please go to www.techcet.com
CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo
 Advanced logic benefits as 10 nm followed
by 7 nm moves from qualification into
production
 Multiple patterning (SADP, SAQP) for logic
and memory
 Completion of the NAND to 3D-NAND
transition as 3D will account for 2/3 of the
capacity in 2018 (VLSI Research, April
2018)
 Continued high demand for DRAM
 China investments in new Fabs and
expansions continue for logic and memory
 Niche memory technologies like spin-
transfer torque MRAM (STT-MRAM),
Resistive RAM (RRAM), Ferroelectric FET
(FeFET) and RAM (FRAM), and Cross-Point
memory will grow over the coming 5 years
© Fraunhofer
Dielectric & High-k Materials IP Trends
10
0
200
400
600
800
1000
1200
1400
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
High-k Material IP (Applications)
Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total
0
500
1000
1500
2000
2500
3000
3500
4000
4500
5000
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
Dielectric & High-k Process IP (Applications)
CVD PECVD ALD SOD PVD Total Dielectric Deposition
Al2O3
TiO2
HfO2
ZrO2REO
STO, BST, PZT
Ta2O5
ALD
PECVD
CVD
SOD
PVD
Ta2O5, SrTiOx, BaSrTiOx and PZT 15 to 20 years ago.
Today most IP concerning the metaloxides of Al, Hf, Ti, Zr
and Rare Earth elements (SiO2 and SiN excluded)
ALD and PECVD are domianting filed IP in deposition of
dielectrics and high-k dielectrics (includeing SiO2 and SiN)
Source: Fraunhofer IKTS
© Fraunhofer
FinFET logic at the 10/7 nm node
Intel
10 nm
TSMC
7 nm
Samsung
7 nm
Globalfoundries
7 nm
Process Name P1276 (CPU), P1277
(SoC)
7FF, 7FF+, 7HPC 7LPE 7LP
1st Production 2018 1Q/2018 2019 Cancelled
Lithography 193 nm Immersion 193 nm Immersion
/EUV
EUV 193 nm Immersion
Exposure SAQP SAQP/SE SE SAQP
Contacted Gate
Pitch (CPP)
54 54 54 56
Minimum Metal
Pitch (MMP)
36 40 36 40
Source : WikiChip
© Fraunhofer
Multiple patterning Critical Materials Conference, April 26-27, Phoenix AZ
David Bloss, Vice President, Technology Manufacturing Group and Director of
Lithography Technology Sourcing, Intel Corporation
© Fraunhofer
Multiple patterning
Critical Materials Conference, April 26-27, Phoenix AZ
David Bloss, Vice President, Technology Manufacturing Group and Director of
Lithography Technology Sourcing, Intel Corporation
© Fraunhofer
Lithography beyond 7nm for <24nm pitch
 No single lithography technology can create <24nm
pitch patterns in a single pass except for direct-write
e-beam which is too slow and expensive for HVM.
 Multi-patterning process flows can be scompared by
Cost Per Wafer Pass (CPWP), a term defined as the
cost-of-ownership (CoO)
Modeling for the evaluation of alternate 1D and 2D
patterning paths
Source: Ed Korczynski, TECHCET
CMC Conference 2018, April 27 2018, Phoenix
ALD
© Fraunhofer
Alternatives – Directed self assembly (DSA)
IBM Research at Albany NanoTech, TEL Technology Center, America in Albany, GlobalFoundries, and IBM
Research TJ Watson in Yorktown Heights and IBM Research Almaden, San Jose.
Nature Electronics volume 1, pages562–569 (2018)
ALD
ALD ALD
© Fraunhofer
Leading Semiconductor Materials Suppliers ($M’s)
Source:
TECHCET Critical Materials
Reports™
Excluding Silicon Wafers
0
200
400
600
800
1000
1200
1400
1600
1800
UpChem
Hayward
Tokuyama
Entegris
KFMI
Ferrotec
AZ/Merck
WonikQP
AsahiGlass
Wonik
Kyocera
DongWoo
Avantor
MacDermid
Kanto
Adeka
Fujimi
KMG
Honeywell
NGK
Heraeus/Shin-Etsu
Heraeus/Shin-Etsu
Tosoh
BASF
JXNippon
CoorsTek
AirProducts
Hitachi
TNSC-Matheson
Shin-Etsu-PR
TokyoOhkaKogyo
Sumitomo
Cabot
Versum
DowChem
Fujifilm
JSR
AirLiquide
Linde/Praxair
Leading Materials Suppliers 2017 Revenue / Ranking
Ceramics Quartz Gases Precursors/SOD Photoresists/Anc. CMP Targets Wet Chem/Cleans Metal Chemicals
CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo
Bulk/Rare
Gases
7%
Spec Gas
16% Liquide
Precursors
5%
Sputter
Targets
3%
Metal
Chemicals
(FE)
2%
Wet
Chemicals
8%CMP Pads &
Slurry
13%
Photoresists /
Ancillaries
27%
Eqt Parts: CMP
4%
Eqt Parts: Si
3%
Eqt Parts:
Quartz
5%
Eqt Parts: SiC /
Ceramics
7%
© Fraunhofer
Cobalt applications and requirements
BEOL Cu metalliza on: 22nm →
Co capping layer
✓ Prevents Cu EM
Co liner
✓ Improve wettability
✓ Prevents voids
Source: Applied Materials Inc.
http://www.appliedmaterials.com/products/endura-volta-cvd-cobalt
Challenges
• Conformality, void-free deposition
• Contact resistance
MOL contact fill: <10nm →
Cu
Low-k
Co liner
Co cap
Barrier
Seed
Source: Applied Materials Inc.
Challenges for <10nm integration
• Conformality (low stability precursors)
• Adhesion becomes more challenging
• Selectivity
© Fraunhofer
Cobalt IP Filing Trends for Chemical Compounds
Increased filing activity for Cobalt chemical compounds starting
2012. Applications focusing on Li-batteries & Electronic
applications (Plating chemicals, Precursors)
2012
Prices for Cobalt cathodes have increased by 60%
in 2017 but appear to be stabilized but volatile.
© Fraunhofer
Cobalt IP Filing Trends for Chemical Compounds
Increased filing activity for Cobalt chemical compounds starting
2012. Applications focusing on Li-batteries & Electronic
applications (Plating chemicals, Precursors)
2012
Prices for Cobalt cathodes have increased by 60%
in 2017 but appear to be stabilized but volatile.
Higher demand from Cobalt use in Electric Vechicles
© Fraunhofer
ALD/CVD Metal & High-k Precursors
20
TECHCET Critical Materials Report(TM)
 The combined markets for ALD and CVD
metal precursors are estimated to be
approximately US$460M 2018 and above
US$620M by 2023.
 Average long term CAGR of 8% over 2013
to 2023
© Fraunhofer
ALD/CVD/SOD Dielectric Precursors
 Dielectric precursors show growth in all
segments for the next 5 years reaching
US$660 in 2023 M
 As for metal precursors, the market has over
an extended period of time had a double digit
growth and we expect the market to continue
to develop as the transition to 10 nm and
7 nm node logic and 3D-NAND take place with
an average long term CAGR of 9% over 2015
to 2023
21
TECHCET Critical Materials Report(TM)
© Fraunhofer
Competeing Industries
Competing industries for ALD precursors and the most important industries in high volume manufacturing today are:
 Non-Semi Wafer based (e.g. MEMS and LED)
 QD-OLED and AMOLED Flexible display
 Photovoltaic
 R&D Equipment
22
From an ALD equipment perspective these markets account for 10 to
15% of the total annual revenue for ALD equipment (US$ 1.8 to 1.9 B).
NCD Cluster system for Lucida™ GD series
OLED Encapsluation
6G half (1500mmX925mm)
© Fraunhofer
PV – Back side passivation for PERC cells driving
TMA use in PECVD & ALD
Equipment & Process Market Share Estimate (%)
OEM Process
TMA
consumption
[mg / wafer]
2017 2018 2020 2022 2025 2028
Fullshare PECVD 9
90 92 86 87 87 83Centrotherm PECVD
5
Meyer Burger PECVD
2.9
Ideal Energy ALD
4
6 6 11 12 13 16
SoLayTec ALD 3
NCD ALD 2
Levitech Spatial ALD 1.6
Leadmicro Spatial ALD 1
Other PECVD SiNx n/a 4 2 2 1 0 0
23
TMA consumption and Al2O3 thickness for APCVD, PECVD and ALD Tools (Adapted from
TaiyangNews 2018).
The low TMA consumption is a reason why ALD tools
are slowly taking market share from PECVD, especially
in China
© Fraunhofer
MEMS
In MEMS applications that consist of numerous 3D structures, narrow cavities
and the need of conformal coatings even in large buried structures ALD is
optimal for deposition in of layers with very specific properties or combination
thereof:
Large volume of MEMS for Smartphone market (Gyro, Sensors, Speakers, Microphones)
Leading MEMS and companies have implemented ALD i HVM
o Diffusion barriers
o Adhesion layers
o Charge dissipative layers
o Layers lowering frictional wear
o Optical layers
o Coatings for hermetical sealing
o Hydrophobic layers to decrease stiction
o Conformal, thermally conductive layers
o Conductive seed layers for plating purposes
o Etch masks and etch stop layers
o Conformal, electrically insulating layers
© Fraunhofer
New 200 mm ALD Wafer Cluster Tools
Picosun
Singel Wafer
Mini Batch
Veeco
Mini Batch
Beneq
Mini Batch
Oxford Instruments
PlasmaPro Cluster PECVD, ALD & ALE
© Fraunhofer 26
Call for Papers Now!
Exhibition
Sponsoring

Weitere ähnliche Inhalte

Was ist angesagt?

Robust and Reliable Thin-Film OLED Encapsulation
Robust and Reliable Thin-Film OLED EncapsulationRobust and Reliable Thin-Film OLED Encapsulation
Robust and Reliable Thin-Film OLED EncapsulationBeneq
 
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production ScaleRoll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production ScaleBeneq
 
Surface coverage in atomic layer deposition - slides related to invited talk ...
Surface coverage in atomic layer deposition - slides related to invited talk ...Surface coverage in atomic layer deposition - slides related to invited talk ...
Surface coverage in atomic layer deposition - slides related to invited talk ...Riikka Puurunen
 
ALD for energy application - Lithium ion battery and fuel cells
ALD for energy application - Lithium ion battery and fuel cellsALD for energy application - Lithium ion battery and fuel cells
ALD for energy application - Lithium ion battery and fuel cellsLaurent Lecordier
 
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Yole Developpement
 
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality Riikka Puurunen
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Yole Developpement
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleYole Developpement
 
Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022Beneq
 
Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidescdtpv
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...Yole Developpement
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Yole Developpement
 
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement Yole Developpement
 
Status of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementStatus of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementYole Developpement
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsBeneq
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole DeveloppementYole Developpement
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020Yole Developpement
 
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Yole Developpement
 
Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1SUSS MicroTec
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Yole Developpement
 

Was ist angesagt? (20)

Robust and Reliable Thin-Film OLED Encapsulation
Robust and Reliable Thin-Film OLED EncapsulationRobust and Reliable Thin-Film OLED Encapsulation
Robust and Reliable Thin-Film OLED Encapsulation
 
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production ScaleRoll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
 
Surface coverage in atomic layer deposition - slides related to invited talk ...
Surface coverage in atomic layer deposition - slides related to invited talk ...Surface coverage in atomic layer deposition - slides related to invited talk ...
Surface coverage in atomic layer deposition - slides related to invited talk ...
 
ALD for energy application - Lithium ion battery and fuel cells
ALD for energy application - Lithium ion battery and fuel cellsALD for energy application - Lithium ion battery and fuel cells
ALD for energy application - Lithium ion battery and fuel cells
 
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
 
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
 
Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022
 
Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxides
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...
 
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
 
Status of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementStatus of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole Développement
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power Electronics
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
 
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
 
Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020
 

Ähnlich wie ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING

Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Yole Developpement
 
High-k für Alle - Beyond DRAM capacitors and HKMG
High-k für Alle - Beyond DRAM capacitors and HKMGHigh-k für Alle - Beyond DRAM capacitors and HKMG
High-k für Alle - Beyond DRAM capacitors and HKMGJonas Sundqvist
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Yole Developpement
 
Technological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingTechnological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingToradex
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009168report
 
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Yole Developpement
 
Impact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key SectorsImpact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key SectorsJIC
 
KEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioKEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioIvana Ivanovska
 
Broadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiDBroadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiDsystem_plus
 
Overview of Gigalight
Overview of GigalightOverview of Gigalight
Overview of GigalightGigalight
 
Longi solar--technology roadmap
Longi solar--technology roadmapLongi solar--technology roadmap
Longi solar--technology roadmapWalter Jin
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1CHENHuiMei
 
Bluetooth 5: System-on-Chip Comparison 2018
Bluetooth 5: System-on-Chip Comparison 2018Bluetooth 5: System-on-Chip Comparison 2018
Bluetooth 5: System-on-Chip Comparison 2018system_plus
 
B_Muys_AFIP2016_Agfa
B_Muys_AFIP2016_AgfaB_Muys_AFIP2016_Agfa
B_Muys_AFIP2016_AgfaBavo Muys
 
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor  Wireless Broadband SolutionPeraso X710 Chipset 60GHz Outdoor  Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solutionsystem_plus
 
Infiberone will demonstrate 200 g qsfp dd sr8 during the 2017 cioe and ecoc e...
Infiberone will demonstrate 200 g qsfp dd sr8 during the 2017 cioe and ecoc e...Infiberone will demonstrate 200 g qsfp dd sr8 during the 2017 cioe and ecoc e...
Infiberone will demonstrate 200 g qsfp dd sr8 during the 2017 cioe and ecoc e...Cindy Ke
 

Ähnlich wie ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING (20)

Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
High-k für Alle - Beyond DRAM capacitors and HKMG
High-k für Alle - Beyond DRAM capacitors and HKMGHigh-k für Alle - Beyond DRAM capacitors and HKMG
High-k für Alle - Beyond DRAM capacitors and HKMG
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
 
Gnkaerospace 3d 2014
Gnkaerospace 3d 2014 Gnkaerospace 3d 2014
Gnkaerospace 3d 2014
 
GNK Aerospace 2014
GNK Aerospace 2014GNK Aerospace 2014
GNK Aerospace 2014
 
Technological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingTechnological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and Manufacturing
 
01 hoffmann applied solar expertise
01 hoffmann applied solar expertise01 hoffmann applied solar expertise
01 hoffmann applied solar expertise
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009
 
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...
 
Impact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key SectorsImpact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key Sectors
 
KEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioKEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolio
 
Broadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiDBroadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiD
 
Oerlikon Solar
Oerlikon SolarOerlikon Solar
Oerlikon Solar
 
Overview of Gigalight
Overview of GigalightOverview of Gigalight
Overview of Gigalight
 
Longi solar--technology roadmap
Longi solar--technology roadmapLongi solar--technology roadmap
Longi solar--technology roadmap
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1
 
Bluetooth 5: System-on-Chip Comparison 2018
Bluetooth 5: System-on-Chip Comparison 2018Bluetooth 5: System-on-Chip Comparison 2018
Bluetooth 5: System-on-Chip Comparison 2018
 
B_Muys_AFIP2016_Agfa
B_Muys_AFIP2016_AgfaB_Muys_AFIP2016_Agfa
B_Muys_AFIP2016_Agfa
 
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor  Wireless Broadband SolutionPeraso X710 Chipset 60GHz Outdoor  Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
 
Infiberone will demonstrate 200 g qsfp dd sr8 during the 2017 cioe and ecoc e...
Infiberone will demonstrate 200 g qsfp dd sr8 during the 2017 cioe and ecoc e...Infiberone will demonstrate 200 g qsfp dd sr8 during the 2017 cioe and ecoc e...
Infiberone will demonstrate 200 g qsfp dd sr8 during the 2017 cioe and ecoc e...
 

Kürzlich hochgeladen

Pests of soyabean_Binomics_IdentificationDr.UPR.pdf
Pests of soyabean_Binomics_IdentificationDr.UPR.pdfPests of soyabean_Binomics_IdentificationDr.UPR.pdf
Pests of soyabean_Binomics_IdentificationDr.UPR.pdfPirithiRaju
 
Forensic limnology of diatoms by Sanjai.pptx
Forensic limnology of diatoms by Sanjai.pptxForensic limnology of diatoms by Sanjai.pptx
Forensic limnology of diatoms by Sanjai.pptxkumarsanjai28051
 
Four Spheres of the Earth Presentation.ppt
Four Spheres of the Earth Presentation.pptFour Spheres of the Earth Presentation.ppt
Four Spheres of the Earth Presentation.pptJoemSTuliba
 
Vision and reflection on Mining Software Repositories research in 2024
Vision and reflection on Mining Software Repositories research in 2024Vision and reflection on Mining Software Repositories research in 2024
Vision and reflection on Mining Software Repositories research in 2024AyushiRastogi48
 
Dubai Calls Girl Lisa O525547819 Lexi Call Girls In Dubai
Dubai Calls Girl Lisa O525547819 Lexi Call Girls In DubaiDubai Calls Girl Lisa O525547819 Lexi Call Girls In Dubai
Dubai Calls Girl Lisa O525547819 Lexi Call Girls In Dubaikojalkojal131
 
Harmful and Useful Microorganisms Presentation
Harmful and Useful Microorganisms PresentationHarmful and Useful Microorganisms Presentation
Harmful and Useful Microorganisms Presentationtahreemzahra82
 
LIGHT-PHENOMENA-BY-CABUALDIONALDOPANOGANCADIENTE-CONDEZA (1).pptx
LIGHT-PHENOMENA-BY-CABUALDIONALDOPANOGANCADIENTE-CONDEZA (1).pptxLIGHT-PHENOMENA-BY-CABUALDIONALDOPANOGANCADIENTE-CONDEZA (1).pptx
LIGHT-PHENOMENA-BY-CABUALDIONALDOPANOGANCADIENTE-CONDEZA (1).pptxmalonesandreagweneth
 
REVISTA DE BIOLOGIA E CIÊNCIAS DA TERRA ISSN 1519-5228 - Artigo_Bioterra_V24_...
REVISTA DE BIOLOGIA E CIÊNCIAS DA TERRA ISSN 1519-5228 - Artigo_Bioterra_V24_...REVISTA DE BIOLOGIA E CIÊNCIAS DA TERRA ISSN 1519-5228 - Artigo_Bioterra_V24_...
REVISTA DE BIOLOGIA E CIÊNCIAS DA TERRA ISSN 1519-5228 - Artigo_Bioterra_V24_...Universidade Federal de Sergipe - UFS
 
STOPPED FLOW METHOD & APPLICATION MURUGAVENI B.pptx
STOPPED FLOW METHOD & APPLICATION MURUGAVENI B.pptxSTOPPED FLOW METHOD & APPLICATION MURUGAVENI B.pptx
STOPPED FLOW METHOD & APPLICATION MURUGAVENI B.pptxMurugaveni B
 
BIOETHICS IN RECOMBINANT DNA TECHNOLOGY.
BIOETHICS IN RECOMBINANT DNA TECHNOLOGY.BIOETHICS IN RECOMBINANT DNA TECHNOLOGY.
BIOETHICS IN RECOMBINANT DNA TECHNOLOGY.PraveenaKalaiselvan1
 
Carbon Dioxide Capture and Storage (CSS)
Carbon Dioxide Capture and Storage (CSS)Carbon Dioxide Capture and Storage (CSS)
Carbon Dioxide Capture and Storage (CSS)Tamer Koksalan, PhD
 
Pests of Blackgram, greengram, cowpea_Dr.UPR.pdf
Pests of Blackgram, greengram, cowpea_Dr.UPR.pdfPests of Blackgram, greengram, cowpea_Dr.UPR.pdf
Pests of Blackgram, greengram, cowpea_Dr.UPR.pdfPirithiRaju
 
Microteaching on terms used in filtration .Pharmaceutical Engineering
Microteaching on terms used in filtration .Pharmaceutical EngineeringMicroteaching on terms used in filtration .Pharmaceutical Engineering
Microteaching on terms used in filtration .Pharmaceutical EngineeringPrajakta Shinde
 
Fertilization: Sperm and the egg—collectively called the gametes—fuse togethe...
Fertilization: Sperm and the egg—collectively called the gametes—fuse togethe...Fertilization: Sperm and the egg—collectively called the gametes—fuse togethe...
Fertilization: Sperm and the egg—collectively called the gametes—fuse togethe...D. B. S. College Kanpur
 
Call Girls in Majnu Ka Tilla Delhi 🔝9711014705🔝 Genuine
Call Girls in Majnu Ka Tilla Delhi 🔝9711014705🔝 GenuineCall Girls in Majnu Ka Tilla Delhi 🔝9711014705🔝 Genuine
Call Girls in Majnu Ka Tilla Delhi 🔝9711014705🔝 Genuinethapagita
 
(9818099198) Call Girls In Noida Sector 14 (NOIDA ESCORTS)
(9818099198) Call Girls In Noida Sector 14 (NOIDA ESCORTS)(9818099198) Call Girls In Noida Sector 14 (NOIDA ESCORTS)
(9818099198) Call Girls In Noida Sector 14 (NOIDA ESCORTS)riyaescorts54
 
The dark energy paradox leads to a new structure of spacetime.pptx
The dark energy paradox leads to a new structure of spacetime.pptxThe dark energy paradox leads to a new structure of spacetime.pptx
The dark energy paradox leads to a new structure of spacetime.pptxEran Akiva Sinbar
 
Environmental Biotechnology Topic:- Microbial Biosensor
Environmental Biotechnology Topic:- Microbial BiosensorEnvironmental Biotechnology Topic:- Microbial Biosensor
Environmental Biotechnology Topic:- Microbial Biosensorsonawaneprad
 

Kürzlich hochgeladen (20)

Pests of soyabean_Binomics_IdentificationDr.UPR.pdf
Pests of soyabean_Binomics_IdentificationDr.UPR.pdfPests of soyabean_Binomics_IdentificationDr.UPR.pdf
Pests of soyabean_Binomics_IdentificationDr.UPR.pdf
 
Forensic limnology of diatoms by Sanjai.pptx
Forensic limnology of diatoms by Sanjai.pptxForensic limnology of diatoms by Sanjai.pptx
Forensic limnology of diatoms by Sanjai.pptx
 
Four Spheres of the Earth Presentation.ppt
Four Spheres of the Earth Presentation.pptFour Spheres of the Earth Presentation.ppt
Four Spheres of the Earth Presentation.ppt
 
Vision and reflection on Mining Software Repositories research in 2024
Vision and reflection on Mining Software Repositories research in 2024Vision and reflection on Mining Software Repositories research in 2024
Vision and reflection on Mining Software Repositories research in 2024
 
Hot Sexy call girls in Moti Nagar,🔝 9953056974 🔝 escort Service
Hot Sexy call girls in  Moti Nagar,🔝 9953056974 🔝 escort ServiceHot Sexy call girls in  Moti Nagar,🔝 9953056974 🔝 escort Service
Hot Sexy call girls in Moti Nagar,🔝 9953056974 🔝 escort Service
 
Dubai Calls Girl Lisa O525547819 Lexi Call Girls In Dubai
Dubai Calls Girl Lisa O525547819 Lexi Call Girls In DubaiDubai Calls Girl Lisa O525547819 Lexi Call Girls In Dubai
Dubai Calls Girl Lisa O525547819 Lexi Call Girls In Dubai
 
Harmful and Useful Microorganisms Presentation
Harmful and Useful Microorganisms PresentationHarmful and Useful Microorganisms Presentation
Harmful and Useful Microorganisms Presentation
 
LIGHT-PHENOMENA-BY-CABUALDIONALDOPANOGANCADIENTE-CONDEZA (1).pptx
LIGHT-PHENOMENA-BY-CABUALDIONALDOPANOGANCADIENTE-CONDEZA (1).pptxLIGHT-PHENOMENA-BY-CABUALDIONALDOPANOGANCADIENTE-CONDEZA (1).pptx
LIGHT-PHENOMENA-BY-CABUALDIONALDOPANOGANCADIENTE-CONDEZA (1).pptx
 
Volatile Oils Pharmacognosy And Phytochemistry -I
Volatile Oils Pharmacognosy And Phytochemistry -IVolatile Oils Pharmacognosy And Phytochemistry -I
Volatile Oils Pharmacognosy And Phytochemistry -I
 
REVISTA DE BIOLOGIA E CIÊNCIAS DA TERRA ISSN 1519-5228 - Artigo_Bioterra_V24_...
REVISTA DE BIOLOGIA E CIÊNCIAS DA TERRA ISSN 1519-5228 - Artigo_Bioterra_V24_...REVISTA DE BIOLOGIA E CIÊNCIAS DA TERRA ISSN 1519-5228 - Artigo_Bioterra_V24_...
REVISTA DE BIOLOGIA E CIÊNCIAS DA TERRA ISSN 1519-5228 - Artigo_Bioterra_V24_...
 
STOPPED FLOW METHOD & APPLICATION MURUGAVENI B.pptx
STOPPED FLOW METHOD & APPLICATION MURUGAVENI B.pptxSTOPPED FLOW METHOD & APPLICATION MURUGAVENI B.pptx
STOPPED FLOW METHOD & APPLICATION MURUGAVENI B.pptx
 
BIOETHICS IN RECOMBINANT DNA TECHNOLOGY.
BIOETHICS IN RECOMBINANT DNA TECHNOLOGY.BIOETHICS IN RECOMBINANT DNA TECHNOLOGY.
BIOETHICS IN RECOMBINANT DNA TECHNOLOGY.
 
Carbon Dioxide Capture and Storage (CSS)
Carbon Dioxide Capture and Storage (CSS)Carbon Dioxide Capture and Storage (CSS)
Carbon Dioxide Capture and Storage (CSS)
 
Pests of Blackgram, greengram, cowpea_Dr.UPR.pdf
Pests of Blackgram, greengram, cowpea_Dr.UPR.pdfPests of Blackgram, greengram, cowpea_Dr.UPR.pdf
Pests of Blackgram, greengram, cowpea_Dr.UPR.pdf
 
Microteaching on terms used in filtration .Pharmaceutical Engineering
Microteaching on terms used in filtration .Pharmaceutical EngineeringMicroteaching on terms used in filtration .Pharmaceutical Engineering
Microteaching on terms used in filtration .Pharmaceutical Engineering
 
Fertilization: Sperm and the egg—collectively called the gametes—fuse togethe...
Fertilization: Sperm and the egg—collectively called the gametes—fuse togethe...Fertilization: Sperm and the egg—collectively called the gametes—fuse togethe...
Fertilization: Sperm and the egg—collectively called the gametes—fuse togethe...
 
Call Girls in Majnu Ka Tilla Delhi 🔝9711014705🔝 Genuine
Call Girls in Majnu Ka Tilla Delhi 🔝9711014705🔝 GenuineCall Girls in Majnu Ka Tilla Delhi 🔝9711014705🔝 Genuine
Call Girls in Majnu Ka Tilla Delhi 🔝9711014705🔝 Genuine
 
(9818099198) Call Girls In Noida Sector 14 (NOIDA ESCORTS)
(9818099198) Call Girls In Noida Sector 14 (NOIDA ESCORTS)(9818099198) Call Girls In Noida Sector 14 (NOIDA ESCORTS)
(9818099198) Call Girls In Noida Sector 14 (NOIDA ESCORTS)
 
The dark energy paradox leads to a new structure of spacetime.pptx
The dark energy paradox leads to a new structure of spacetime.pptxThe dark energy paradox leads to a new structure of spacetime.pptx
The dark energy paradox leads to a new structure of spacetime.pptx
 
Environmental Biotechnology Topic:- Microbial Biosensor
Environmental Biotechnology Topic:- Microbial BiosensorEnvironmental Biotechnology Topic:- Microbial Biosensor
Environmental Biotechnology Topic:- Microbial Biosensor
 

ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING

  • 1. © Fraunhofer ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING EFDS ALD for Industry 2019, Berlin 19-20 Marck 2019 Jonas Sundqvist 1
  • 2. © Fraunhofer Recap - Introduction of ALD in 300 mm HVM 200mm
  • 3. © Fraunhofer CMC Seminar / ICMtia Joint Conf 10/2018 The driver behind ALD & CVD 300mm Equipment fab invest
  • 4. © Fraunhofer 4  VLSI Research report well above average growth for ASML (NL), Tokyo Electron (JPN), Advantest (JPN), Kokusai (JPN), Daifuku (JPN) and Canon (JPN) so a big win for Japan and the Netherlands last year. All Japanese companies outperform the market growth 2018!  Judging by ASMI, TEL and Kokusai it seems that ALD was able to capture all of the growth in 2018 and maybe a bit more.
  • 5. © Fraunhofer ALD Equipment Market <25% of the total CVD Wafer Equipment market  Tokyo Electron has passed ASM in revenue 2017  90% of the market is 300mm wafer processing equipment  TEL and Hitachi Kokusai dominates Large Batch ALD  300 mm Spatial ALD and Multi wafer tools continues taking market shares vs. Single wafer & Large Batch 5 25% 24% 16% 11% 7% 5% 3% 9% ALD Equipment Market Estimate 2017 US$ 1.8 to 1.9 B (Semi, PV, Display, MEMS, R&D) Tokyo Electron ASM International Hitachi Kokusai Electric Lam Research Wonik IPS Jusung Engineering Applied Materials Other Estimate for 2018 is >US$ 2.0 B 2017 & 2018 Japanese Companies are growing in ALD (Tokyo Electron and Kokusai)
  • 6. © Fraunhofer Trend in IP Filing for Spatial ALD 0% 5% 10% 15% 20% 25% 30% 35% IP Applications for Spatial ALD Source: Fraunhofer IKTS
  • 7. © Fraunhofer Trend in IP Filing for Spatial ALD 0% 5% 10% 15% 20% 25% 30% 35% IP Applications for Spatial ALD TEL NT333 for thermal and plasma ALD of SiO2, SiN, High-k
  • 8. © Fraunhofer High productivity Single Wafer Platform - ASM XP8 and XP8 QCM Quad chamber modules (QCMs) 30, 32, 34, and 36 are connected to four side surfaces of the vacuum chamber 22. Each QCM is a module having four reactor chambers (RC1 to RC4). Processing such as plasma film forming processing is performed on a substrate in each reactor chamber. (ASM Patent application US20170278074A1) The new ASM XP8 QCM up to 16 chamber ALD/CVD tool
  • 9. © Fraunhofer Wafer forecast – growth drivers for ALD & CVD For more information on TECHCET’s Wafer reports please go to www.techcet.com CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo  Advanced logic benefits as 10 nm followed by 7 nm moves from qualification into production  Multiple patterning (SADP, SAQP) for logic and memory  Completion of the NAND to 3D-NAND transition as 3D will account for 2/3 of the capacity in 2018 (VLSI Research, April 2018)  Continued high demand for DRAM  China investments in new Fabs and expansions continue for logic and memory  Niche memory technologies like spin- transfer torque MRAM (STT-MRAM), Resistive RAM (RRAM), Ferroelectric FET (FeFET) and RAM (FRAM), and Cross-Point memory will grow over the coming 5 years
  • 10. © Fraunhofer Dielectric & High-k Materials IP Trends 10 0 200 400 600 800 1000 1200 1400 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 High-k Material IP (Applications) Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 Dielectric & High-k Process IP (Applications) CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 ZrO2REO STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD Ta2O5, SrTiOx, BaSrTiOx and PZT 15 to 20 years ago. Today most IP concerning the metaloxides of Al, Hf, Ti, Zr and Rare Earth elements (SiO2 and SiN excluded) ALD and PECVD are domianting filed IP in deposition of dielectrics and high-k dielectrics (includeing SiO2 and SiN) Source: Fraunhofer IKTS
  • 11. © Fraunhofer FinFET logic at the 10/7 nm node Intel 10 nm TSMC 7 nm Samsung 7 nm Globalfoundries 7 nm Process Name P1276 (CPU), P1277 (SoC) 7FF, 7FF+, 7HPC 7LPE 7LP 1st Production 2018 1Q/2018 2019 Cancelled Lithography 193 nm Immersion 193 nm Immersion /EUV EUV 193 nm Immersion Exposure SAQP SAQP/SE SE SAQP Contacted Gate Pitch (CPP) 54 54 54 56 Minimum Metal Pitch (MMP) 36 40 36 40 Source : WikiChip
  • 12. © Fraunhofer Multiple patterning Critical Materials Conference, April 26-27, Phoenix AZ David Bloss, Vice President, Technology Manufacturing Group and Director of Lithography Technology Sourcing, Intel Corporation
  • 13. © Fraunhofer Multiple patterning Critical Materials Conference, April 26-27, Phoenix AZ David Bloss, Vice President, Technology Manufacturing Group and Director of Lithography Technology Sourcing, Intel Corporation
  • 14. © Fraunhofer Lithography beyond 7nm for <24nm pitch  No single lithography technology can create <24nm pitch patterns in a single pass except for direct-write e-beam which is too slow and expensive for HVM.  Multi-patterning process flows can be scompared by Cost Per Wafer Pass (CPWP), a term defined as the cost-of-ownership (CoO) Modeling for the evaluation of alternate 1D and 2D patterning paths Source: Ed Korczynski, TECHCET CMC Conference 2018, April 27 2018, Phoenix ALD
  • 15. © Fraunhofer Alternatives – Directed self assembly (DSA) IBM Research at Albany NanoTech, TEL Technology Center, America in Albany, GlobalFoundries, and IBM Research TJ Watson in Yorktown Heights and IBM Research Almaden, San Jose. Nature Electronics volume 1, pages562–569 (2018) ALD ALD ALD
  • 16. © Fraunhofer Leading Semiconductor Materials Suppliers ($M’s) Source: TECHCET Critical Materials Reports™ Excluding Silicon Wafers 0 200 400 600 800 1000 1200 1400 1600 1800 UpChem Hayward Tokuyama Entegris KFMI Ferrotec AZ/Merck WonikQP AsahiGlass Wonik Kyocera DongWoo Avantor MacDermid Kanto Adeka Fujimi KMG Honeywell NGK Heraeus/Shin-Etsu Heraeus/Shin-Etsu Tosoh BASF JXNippon CoorsTek AirProducts Hitachi TNSC-Matheson Shin-Etsu-PR TokyoOhkaKogyo Sumitomo Cabot Versum DowChem Fujifilm JSR AirLiquide Linde/Praxair Leading Materials Suppliers 2017 Revenue / Ranking Ceramics Quartz Gases Precursors/SOD Photoresists/Anc. CMP Targets Wet Chem/Cleans Metal Chemicals CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo Bulk/Rare Gases 7% Spec Gas 16% Liquide Precursors 5% Sputter Targets 3% Metal Chemicals (FE) 2% Wet Chemicals 8%CMP Pads & Slurry 13% Photoresists / Ancillaries 27% Eqt Parts: CMP 4% Eqt Parts: Si 3% Eqt Parts: Quartz 5% Eqt Parts: SiC / Ceramics 7%
  • 17. © Fraunhofer Cobalt applications and requirements BEOL Cu metalliza on: 22nm → Co capping layer ✓ Prevents Cu EM Co liner ✓ Improve wettability ✓ Prevents voids Source: Applied Materials Inc. http://www.appliedmaterials.com/products/endura-volta-cvd-cobalt Challenges • Conformality, void-free deposition • Contact resistance MOL contact fill: <10nm → Cu Low-k Co liner Co cap Barrier Seed Source: Applied Materials Inc. Challenges for <10nm integration • Conformality (low stability precursors) • Adhesion becomes more challenging • Selectivity
  • 18. © Fraunhofer Cobalt IP Filing Trends for Chemical Compounds Increased filing activity for Cobalt chemical compounds starting 2012. Applications focusing on Li-batteries & Electronic applications (Plating chemicals, Precursors) 2012 Prices for Cobalt cathodes have increased by 60% in 2017 but appear to be stabilized but volatile.
  • 19. © Fraunhofer Cobalt IP Filing Trends for Chemical Compounds Increased filing activity for Cobalt chemical compounds starting 2012. Applications focusing on Li-batteries & Electronic applications (Plating chemicals, Precursors) 2012 Prices for Cobalt cathodes have increased by 60% in 2017 but appear to be stabilized but volatile. Higher demand from Cobalt use in Electric Vechicles
  • 20. © Fraunhofer ALD/CVD Metal & High-k Precursors 20 TECHCET Critical Materials Report(TM)  The combined markets for ALD and CVD metal precursors are estimated to be approximately US$460M 2018 and above US$620M by 2023.  Average long term CAGR of 8% over 2013 to 2023
  • 21. © Fraunhofer ALD/CVD/SOD Dielectric Precursors  Dielectric precursors show growth in all segments for the next 5 years reaching US$660 in 2023 M  As for metal precursors, the market has over an extended period of time had a double digit growth and we expect the market to continue to develop as the transition to 10 nm and 7 nm node logic and 3D-NAND take place with an average long term CAGR of 9% over 2015 to 2023 21 TECHCET Critical Materials Report(TM)
  • 22. © Fraunhofer Competeing Industries Competing industries for ALD precursors and the most important industries in high volume manufacturing today are:  Non-Semi Wafer based (e.g. MEMS and LED)  QD-OLED and AMOLED Flexible display  Photovoltaic  R&D Equipment 22 From an ALD equipment perspective these markets account for 10 to 15% of the total annual revenue for ALD equipment (US$ 1.8 to 1.9 B). NCD Cluster system for Lucida™ GD series OLED Encapsluation 6G half (1500mmX925mm)
  • 23. © Fraunhofer PV – Back side passivation for PERC cells driving TMA use in PECVD & ALD Equipment & Process Market Share Estimate (%) OEM Process TMA consumption [mg / wafer] 2017 2018 2020 2022 2025 2028 Fullshare PECVD 9 90 92 86 87 87 83Centrotherm PECVD 5 Meyer Burger PECVD 2.9 Ideal Energy ALD 4 6 6 11 12 13 16 SoLayTec ALD 3 NCD ALD 2 Levitech Spatial ALD 1.6 Leadmicro Spatial ALD 1 Other PECVD SiNx n/a 4 2 2 1 0 0 23 TMA consumption and Al2O3 thickness for APCVD, PECVD and ALD Tools (Adapted from TaiyangNews 2018). The low TMA consumption is a reason why ALD tools are slowly taking market share from PECVD, especially in China
  • 24. © Fraunhofer MEMS In MEMS applications that consist of numerous 3D structures, narrow cavities and the need of conformal coatings even in large buried structures ALD is optimal for deposition in of layers with very specific properties or combination thereof: Large volume of MEMS for Smartphone market (Gyro, Sensors, Speakers, Microphones) Leading MEMS and companies have implemented ALD i HVM o Diffusion barriers o Adhesion layers o Charge dissipative layers o Layers lowering frictional wear o Optical layers o Coatings for hermetical sealing o Hydrophobic layers to decrease stiction o Conformal, thermally conductive layers o Conductive seed layers for plating purposes o Etch masks and etch stop layers o Conformal, electrically insulating layers
  • 25. © Fraunhofer New 200 mm ALD Wafer Cluster Tools Picosun Singel Wafer Mini Batch Veeco Mini Batch Beneq Mini Batch Oxford Instruments PlasmaPro Cluster PECVD, ALD & ALE
  • 26. © Fraunhofer 26 Call for Papers Now! Exhibition Sponsoring