SlideShare ist ein Scribd-Unternehmen logo
1 von 7
qwertyuiopasdfghjklzxcvbnmqwertyui
opasdfghjklzxcvbnmqwertyuiopasdfgh
jklzxcvbnmqwertyuiopasdfghjklzxcvb
nmqwertyuiopasdfghjklzxcvbnmqwer
tyuiopasdfghjklzxcvbnmqwertyuiopas
dfghjklzxcvbnmqwertyuiopasdfghjklzx
cvbnmqwertyuiopasdfghjklzxcvbnmq
wertyuiopasdfghjklzxcvbnmqwertyuio
pasdfghjklzxcvbnmqwertyuiopasdfghj
klzxcvbnmqwertyuiopasdfghjklzxcvbn
mqwertyuiopasdfghjklzxcvbnmqwerty
uiopasdfghjklzxcvbnmqwertyuiopasdf
ghjklzxcvbnmqwertyuiopasdfghjklzxc
vbnmqwertyuiopasdfghjklzxcvbnmrty
uiopasdfghjklzxcvbnmqwertyuiopasdf
ghjklzxcvbnmqwertyuiopasdfghjklzxc
Universidad Autónoma de Baja
California
ECITEC Valle de las Palmas
Ingeniería en Electrónica
Diseño Digital a Alta Escala
Reporte Practica 2
Marcos Marcos Fernando
5 Octubre del 2015
Planteamiento del problema
Diseñar un sistema de multiplex ión en el tiempo que controle 4 displays enrutando 4
señales a una salida.
Diseñe un circuito de incremento unitario de 8 bits, como se observa en el siguiente
diagrama de la figura 1, y que muestre su salida en los 4 displays del tablero Nexys 2.
Figura 1.
DESARROLLO
Se inicio desarrollando cada uno de los programas necesarios para crear el
programa final (conjunto de programas o instancias).
Incrementador unitario de 8 bits
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity incremento_unitario_8bits is
generic(N:integer:=8);
PORT( sw1: in STD_LOGIC_VECTOR(N-1 downto 0);
swout: out STD_LOGIC_VECTOR(N-1 downto 0)
);
end incremento_unitario_8bits;
architecture Behavioral of incremento_unitario_8bits is
begin
swout <= std_logic_vector(unsigned(sw1) + 1);
end Behavioral;
Convertidor Hexadecimal a siente segmentos
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity PRACTICA2_02 is
port( hex: in std_logic_vector(3 downto 0);
-- dp1: in std_logic;
sseg: out std_logic_vector(7 downto 0)
end PRACTICA2_02;
architecture Behavioral of PRACTICA2_02 is
begin
process(hex)
begin
case hex is
when "0000" =>
sseg(6 downto 0) <= "0000001"; --0
when "0001" =>
sseg(6 downto 0) <= "1001111"; --1
when "0010" =>
sseg(6 downto 0) <= "0010010"; --2
when "0011" =>
sseg(6 downto 0) <= "0000110"; --3
when "0100" =>
sseg(6 downto 0) <= "1001100"; --4
when "0101" =>
sseg(6 downto 0) <= "0100100"; --5
when "0110" =>
sseg(6 downto 0) <= "0100000"; --6
when "0111" =>
sseg(6 downto 0) <= "0001111"; --7
when "1000" =>
sseg(6 downto 0) <= "0000000"; --8
when "1001" =>
sseg(6 downto 0) <= "0000100"; --9
when "1010" =>
sseg(6 downto 0) <= "0001000"; --A
when "1011" =>
sseg(6 downto 0) <= "1100000"; --b
when "1100" =>
sseg(6 downto 0) <= "0110001"; --C
when "1101" =>
sseg(6 downto 0) <= "1000010"; --d
when "1110" =>
sseg(6 downto 0) <= "0110000"; --E
when others =>
sseg(6 downto 0) <= "1111110"; --F
end case;
end process;
sseg(7) <= dp1;
end Behavioral;
Multiplexor de displays en el tiempo
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity mux4display is
Generic(N:integer:=8);
PORT( in1,in2,in3,in4: in STD_LOGIC_VECTOR(N-1 downto 0);
clk,rst1: in STD_LOGIC;
sseg1: out STD_LOGIC_VECTOR(N-1 downto 0);
an: out STD_LOGIC_VECTOR(3 downto 0)
);
end mux4display;
architecture Behavioral of mux4display is
CONSTANT N1:integer:=18;
signal count1,count: unsigned(N1-1 downto 0);
signal sel: std_logic_vector(1 downto 0);
signal s1: std_logic_vector(3 downto 0);
begin
process(clk,rst1)
begin
if(rst1 = '1') then
count1 <= (others => '0');
--sseg1 <= "11111111";
an <= "1111";
elsif(clk'event and clk = '1') then
count1 <= count;
an <= s1;
end if;
end process;
count <= count1 + 1;
sel <= STD_LOGIC_VECTOR(count1(N-1 downto N-2));
process(in1,in2,in3,in4,sel)
begin
case sel is
when "00" =>
sseg1 <= in1;
s1 <= "1110";
when "01" =>
sseg1 <= in2;
s1 <= "1101";
when "10" =>
sseg1 <= in3;
s1 <= "1011";
when others =>
sseg1 <= in4;
s1 <= "0111";
end case;
end process;
end Behavioral;
Realizados los programas necesario, ahora solo falta hacer el programa de mayor
jerarquía, el cual contendrá las instancias de cada uno de los tres programa anterior, en esta parte
lo único que se realiza es la asignación de señales entre cada instancia, el programa realizado es el
siguiente.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity sistem_mux4display_time is
PORT( sw: in STD_LOGIC_VECTOR(7 downto 0);
clk,rst,dp: in STD_LOGIC;
sseg_out: out STD_LOGIC_VECTOR(7 downto 0);
an_out: out STD_LOGIC_VECTOR(3 downto 0)
);
end sistem_mux4display_time;
architecture Behavioral of sistem_mux4display_time is
signal swin,disp1,disp2,disp3,disp4: STD_LOGIC_VECTOR(7 downto 0);
begin
--INSTANCIA SUMADOR UNITARIO DE 8 BITS
sum: entity work.incremento_unitario_8bits(Behavioral)
PORT MAP(sw1 => sw, swout => swin);
--INSTANCIA HEX_TO_SEG1
hex_to_sseg1: entity work.PRACTICA2_02(Behavioral)
PORT MAP(hex => sw(7 downto 4), sseg => disp1, dp1 => dp);
--INSTANCIA HEX_TO_SEG2
hex_to_sseg2: entity work.PRACTICA2_02(Behavioral)
PORT MAP(hex => sw(3 downto 0), sseg => disp2, dp1 => dp);
--INSTANCIA HEX_TO_SEG1
hex_to_sseg3: entity work.PRACTICA2_02(Behavioral)
PORT MAP(hex => swin(7 downto 4), sseg => disp3, dp1 => dp);
--INSTANCIA HEX_TO_SEG1
hex_to_sseg4: entity work.PRACTICA2_02(Behavioral)
PORT MAP(hex => swin(3 downto 0), sseg => disp4, dp1 => dp);
--INTANCIA MULTIPLEXOR DE DISPLAYS
disp_mux: entity work.mux4display(Behavioral)
PORT MAP(in1 => disp1, in2 => disp2, in3 => disp3, in4 => disp4, clk => clk, rst1 => rst,
sseg1 => sseg_out, an => an_out);
end Behavioral;
El esquemático RTL es el siguiente.
Figura 2.
Asignación de pines en PlanAhead
Figura 3.
Evidencia de Funcionamiento
Figura 4.
Figura 5.

Weitere ähnliche Inhalte

Was ist angesagt?

Kernel Recipes 2016 - Why you need a test strategy for your kernel development
Kernel Recipes 2016 - Why you need a test strategy for your kernel developmentKernel Recipes 2016 - Why you need a test strategy for your kernel development
Kernel Recipes 2016 - Why you need a test strategy for your kernel developmentAnne Nicolas
 
Comunicação Android Arduino - JASI 2015
Comunicação Android Arduino - JASI 2015Comunicação Android Arduino - JASI 2015
Comunicação Android Arduino - JASI 2015Rodrigo Reis Alves
 
Inspector - Node.js : Notes
Inspector - Node.js : NotesInspector - Node.js : Notes
Inspector - Node.js : NotesSubhajit Sahu
 
Unit Testing: Special Cases
Unit Testing: Special CasesUnit Testing: Special Cases
Unit Testing: Special CasesCiklum Ukraine
 
Tomáš Čorej: Configuration management & CFEngine3
Tomáš Čorej: Configuration management & CFEngine3Tomáš Čorej: Configuration management & CFEngine3
Tomáš Čorej: Configuration management & CFEngine3Jano Suchal
 
Capture and replay hardware behaviour for regression testing and bug reporting
Capture and replay hardware behaviour for regression testing and bug reportingCapture and replay hardware behaviour for regression testing and bug reporting
Capture and replay hardware behaviour for regression testing and bug reportingmartin-pitt
 
Digital to analog -Sqaure waveform generator in VHDL
Digital to analog -Sqaure waveform generator in VHDLDigital to analog -Sqaure waveform generator in VHDL
Digital to analog -Sqaure waveform generator in VHDLOmkar Rane
 
Sine Wave Generator with controllable frequency displayed on a seven segment ...
Sine Wave Generator with controllable frequency displayed on a seven segment ...Sine Wave Generator with controllable frequency displayed on a seven segment ...
Sine Wave Generator with controllable frequency displayed on a seven segment ...Karthik Rathinavel
 
Coroutines in Kotlin. UA Mobile 2017.
Coroutines in Kotlin. UA Mobile 2017.Coroutines in Kotlin. UA Mobile 2017.
Coroutines in Kotlin. UA Mobile 2017.UA Mobile
 
Controlling Technical Debt with Continuous Delivery
Controlling Technical Debt with Continuous DeliveryControlling Technical Debt with Continuous Delivery
Controlling Technical Debt with Continuous Deliverywalkmod
 
E-Commerce Security - Application attacks - Server Attacks
E-Commerce Security - Application attacks - Server AttacksE-Commerce Security - Application attacks - Server Attacks
E-Commerce Security - Application attacks - Server Attacksphanleson
 
Tool sdl2pml
Tool sdl2pmlTool sdl2pml
Tool sdl2pmlS56WBV
 
The Ring programming language version 1.10 book - Part 68 of 212
The Ring programming language version 1.10 book - Part 68 of 212The Ring programming language version 1.10 book - Part 68 of 212
The Ring programming language version 1.10 book - Part 68 of 212Mahmoud Samir Fayed
 

Was ist angesagt? (20)

Verifikation - Metoder og Libraries
Verifikation - Metoder og LibrariesVerifikation - Metoder og Libraries
Verifikation - Metoder og Libraries
 
Crash Fast & Furious
Crash Fast & FuriousCrash Fast & Furious
Crash Fast & Furious
 
Percobaan 1
Percobaan 1Percobaan 1
Percobaan 1
 
Kernel Recipes 2016 - Why you need a test strategy for your kernel development
Kernel Recipes 2016 - Why you need a test strategy for your kernel developmentKernel Recipes 2016 - Why you need a test strategy for your kernel development
Kernel Recipes 2016 - Why you need a test strategy for your kernel development
 
Comunicação Android Arduino - JASI 2015
Comunicação Android Arduino - JASI 2015Comunicação Android Arduino - JASI 2015
Comunicação Android Arduino - JASI 2015
 
Direct analog
Direct analogDirect analog
Direct analog
 
Inspector - Node.js : Notes
Inspector - Node.js : NotesInspector - Node.js : Notes
Inspector - Node.js : Notes
 
Fpga creating counter with external clock
Fpga   creating counter with external clockFpga   creating counter with external clock
Fpga creating counter with external clock
 
Unit Testing: Special Cases
Unit Testing: Special CasesUnit Testing: Special Cases
Unit Testing: Special Cases
 
Tomáš Čorej: Configuration management & CFEngine3
Tomáš Čorej: Configuration management & CFEngine3Tomáš Čorej: Configuration management & CFEngine3
Tomáš Čorej: Configuration management & CFEngine3
 
Capture and replay hardware behaviour for regression testing and bug reporting
Capture and replay hardware behaviour for regression testing and bug reportingCapture and replay hardware behaviour for regression testing and bug reporting
Capture and replay hardware behaviour for regression testing and bug reporting
 
Digital to analog -Sqaure waveform generator in VHDL
Digital to analog -Sqaure waveform generator in VHDLDigital to analog -Sqaure waveform generator in VHDL
Digital to analog -Sqaure waveform generator in VHDL
 
Sine Wave Generator with controllable frequency displayed on a seven segment ...
Sine Wave Generator with controllable frequency displayed on a seven segment ...Sine Wave Generator with controllable frequency displayed on a seven segment ...
Sine Wave Generator with controllable frequency displayed on a seven segment ...
 
Coroutines in Kotlin. UA Mobile 2017.
Coroutines in Kotlin. UA Mobile 2017.Coroutines in Kotlin. UA Mobile 2017.
Coroutines in Kotlin. UA Mobile 2017.
 
Javascript: The Important Bits
Javascript: The Important BitsJavascript: The Important Bits
Javascript: The Important Bits
 
Controlling Technical Debt with Continuous Delivery
Controlling Technical Debt with Continuous DeliveryControlling Technical Debt with Continuous Delivery
Controlling Technical Debt with Continuous Delivery
 
E-Commerce Security - Application attacks - Server Attacks
E-Commerce Security - Application attacks - Server AttacksE-Commerce Security - Application attacks - Server Attacks
E-Commerce Security - Application attacks - Server Attacks
 
Vend with ff
Vend with ffVend with ff
Vend with ff
 
Tool sdl2pml
Tool sdl2pmlTool sdl2pml
Tool sdl2pml
 
The Ring programming language version 1.10 book - Part 68 of 212
The Ring programming language version 1.10 book - Part 68 of 212The Ring programming language version 1.10 book - Part 68 of 212
The Ring programming language version 1.10 book - Part 68 of 212
 

Andere mochten auch

บทที่3 SVM (support vector machine) นายวิศวะ เลาห์กิติกูล_3301
บทที่3 SVM (support vector machine) นายวิศวะ เลาห์กิติกูล_3301บทที่3 SVM (support vector machine) นายวิศวะ เลาห์กิติกูล_3301
บทที่3 SVM (support vector machine) นายวิศวะ เลาห์กิติกูล_3301creaminiie
 
6 LinkedIn Mistakes in 60 Seconds
6 LinkedIn Mistakes in 60 Seconds6 LinkedIn Mistakes in 60 Seconds
6 LinkedIn Mistakes in 60 SecondsHeather Doering
 
Presentación2
Presentación2Presentación2
Presentación2andres236
 
Tecnología multimedia isaac
Tecnología multimedia isaacTecnología multimedia isaac
Tecnología multimedia isaacisaac_valladares
 
Getting Smart, Not Big, With Data
Getting Smart, Not Big, With DataGetting Smart, Not Big, With Data
Getting Smart, Not Big, With Dataaradovic
 
JeffRowe 2PG
JeffRowe 2PGJeffRowe 2PG
JeffRowe 2PGJeff Rowe
 
Simbolos cristales piezoelectricos osciladores
Simbolos cristales piezoelectricos osciladoresSimbolos cristales piezoelectricos osciladores
Simbolos cristales piezoelectricos osciladoresPedro Bortot
 
Webinar - Microsoft Office 2016 for Mac - 2015-10-22
Webinar - Microsoft Office 2016 for Mac - 2015-10-22Webinar - Microsoft Office 2016 for Mac - 2015-10-22
Webinar - Microsoft Office 2016 for Mac - 2015-10-22TechSoup
 
Портфоліо Булгакова
Портфоліо БулгаковаПортфоліо Булгакова
Портфоліо БулгаковаVadimLuganskiy
 
Utah - Republican Presidential Caucus 2016
Utah - Republican Presidential Caucus 2016Utah - Republican Presidential Caucus 2016
Utah - Republican Presidential Caucus 2016Smartmatic
 
Tecnologia educativa como disciplina pedagogica
Tecnologia educativa como disciplina pedagogicaTecnologia educativa como disciplina pedagogica
Tecnologia educativa como disciplina pedagogicaBelén Muratore
 
Mobile UA 2.0: Three trends in Mobile Marketing for 2016 and Beyond
Mobile UA 2.0: Three trends in Mobile Marketing for 2016 and BeyondMobile UA 2.0: Three trends in Mobile Marketing for 2016 and Beyond
Mobile UA 2.0: Three trends in Mobile Marketing for 2016 and BeyondEric Seufert
 
Relative permeability presentation
Relative permeability presentationRelative permeability presentation
Relative permeability presentationmpetroleum
 
planificacion del mantenimiento
planificacion del mantenimientoplanificacion del mantenimiento
planificacion del mantenimientoosnaicar
 

Andere mochten auch (20)

บทที่3 SVM (support vector machine) นายวิศวะ เลาห์กิติกูล_3301
บทที่3 SVM (support vector machine) นายวิศวะ เลาห์กิติกูล_3301บทที่3 SVM (support vector machine) นายวิศวะ เลาห์กิติกูล_3301
บทที่3 SVM (support vector machine) นายวิศวะ เลาห์กิติกูล_3301
 
зубаревич тольятти-окт2015
зубаревич тольятти-окт2015зубаревич тольятти-окт2015
зубаревич тольятти-окт2015
 
6 LinkedIn Mistakes in 60 Seconds
6 LinkedIn Mistakes in 60 Seconds6 LinkedIn Mistakes in 60 Seconds
6 LinkedIn Mistakes in 60 Seconds
 
Presentación2
Presentación2Presentación2
Presentación2
 
JOEY - Investor Presentation
JOEY - Investor PresentationJOEY - Investor Presentation
JOEY - Investor Presentation
 
Tecnología multimedia isaac
Tecnología multimedia isaacTecnología multimedia isaac
Tecnología multimedia isaac
 
Goal Setting
Goal Setting Goal Setting
Goal Setting
 
Getting Smart, Not Big, With Data
Getting Smart, Not Big, With DataGetting Smart, Not Big, With Data
Getting Smart, Not Big, With Data
 
JeffRowe 2PG
JeffRowe 2PGJeffRowe 2PG
JeffRowe 2PG
 
Simbolos cristales piezoelectricos osciladores
Simbolos cristales piezoelectricos osciladoresSimbolos cristales piezoelectricos osciladores
Simbolos cristales piezoelectricos osciladores
 
Webinar - Microsoft Office 2016 for Mac - 2015-10-22
Webinar - Microsoft Office 2016 for Mac - 2015-10-22Webinar - Microsoft Office 2016 for Mac - 2015-10-22
Webinar - Microsoft Office 2016 for Mac - 2015-10-22
 
Televisión primera parte
Televisión primera parteTelevisión primera parte
Televisión primera parte
 
CHM 491 Senior Seminar
CHM 491 Senior SeminarCHM 491 Senior Seminar
CHM 491 Senior Seminar
 
Портфоліо Булгакова
Портфоліо БулгаковаПортфоліо Булгакова
Портфоліо Булгакова
 
Utah - Republican Presidential Caucus 2016
Utah - Republican Presidential Caucus 2016Utah - Republican Presidential Caucus 2016
Utah - Republican Presidential Caucus 2016
 
Tecnologia educativa como disciplina pedagogica
Tecnologia educativa como disciplina pedagogicaTecnologia educativa como disciplina pedagogica
Tecnologia educativa como disciplina pedagogica
 
Dünya'da Bağ Bozumu
Dünya'da Bağ BozumuDünya'da Bağ Bozumu
Dünya'da Bağ Bozumu
 
Mobile UA 2.0: Three trends in Mobile Marketing for 2016 and Beyond
Mobile UA 2.0: Three trends in Mobile Marketing for 2016 and BeyondMobile UA 2.0: Three trends in Mobile Marketing for 2016 and Beyond
Mobile UA 2.0: Three trends in Mobile Marketing for 2016 and Beyond
 
Relative permeability presentation
Relative permeability presentationRelative permeability presentation
Relative permeability presentation
 
planificacion del mantenimiento
planificacion del mantenimientoplanificacion del mantenimiento
planificacion del mantenimiento
 

Ähnlich wie DDAA FPGA - Multiplexor De Numeros en Display 7 Segmentos En Tiempo

W8_2: Inside the UoS Educational Processor
W8_2: Inside the UoS Educational ProcessorW8_2: Inside the UoS Educational Processor
W8_2: Inside the UoS Educational ProcessorDaniel Roggen
 
SSL Failing, Sharing, and Scheduling
SSL Failing, Sharing, and SchedulingSSL Failing, Sharing, and Scheduling
SSL Failing, Sharing, and SchedulingDavid Evans
 
VHDL PROGRAMS FEW EXAMPLES
VHDL PROGRAMS FEW EXAMPLESVHDL PROGRAMS FEW EXAMPLES
VHDL PROGRAMS FEW EXAMPLESkarthik kadava
 
Locks? We Don't Need No Stinkin' Locks - Michael Barker
Locks? We Don't Need No Stinkin' Locks - Michael BarkerLocks? We Don't Need No Stinkin' Locks - Michael Barker
Locks? We Don't Need No Stinkin' Locks - Michael BarkerJAX London
 
Lock? We don't need no stinkin' locks!
Lock? We don't need no stinkin' locks!Lock? We don't need no stinkin' locks!
Lock? We don't need no stinkin' locks!Michael Barker
 
The Ring programming language version 1.8 book - Part 88 of 202
The Ring programming language version 1.8 book - Part 88 of 202The Ring programming language version 1.8 book - Part 88 of 202
The Ring programming language version 1.8 book - Part 88 of 202Mahmoud Samir Fayed
 
망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15종인 전
 
Writing more complex models (continued)
Writing more complex models (continued)Writing more complex models (continued)
Writing more complex models (continued)Mohamed Samy
 
RISC-V : Berkeley Boot Loader & Proxy Kernelのソースコード解析
RISC-V : Berkeley Boot Loader & Proxy Kernelのソースコード解析RISC-V : Berkeley Boot Loader & Proxy Kernelのソースコード解析
RISC-V : Berkeley Boot Loader & Proxy Kernelのソースコード解析Mr. Vengineer
 
hdl timer ppt.pptx
hdl timer ppt.pptxhdl timer ppt.pptx
hdl timer ppt.pptxChethaSp
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manualSanthosh Poralu
 

Ähnlich wie DDAA FPGA - Multiplexor De Numeros en Display 7 Segmentos En Tiempo (20)

W8_2: Inside the UoS Educational Processor
W8_2: Inside the UoS Educational ProcessorW8_2: Inside the UoS Educational Processor
W8_2: Inside the UoS Educational Processor
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
SSL Failing, Sharing, and Scheduling
SSL Failing, Sharing, and SchedulingSSL Failing, Sharing, and Scheduling
SSL Failing, Sharing, and Scheduling
 
VHDL PROGRAMS FEW EXAMPLES
VHDL PROGRAMS FEW EXAMPLESVHDL PROGRAMS FEW EXAMPLES
VHDL PROGRAMS FEW EXAMPLES
 
Locks? We Don't Need No Stinkin' Locks - Michael Barker
Locks? We Don't Need No Stinkin' Locks - Michael BarkerLocks? We Don't Need No Stinkin' Locks - Michael Barker
Locks? We Don't Need No Stinkin' Locks - Michael Barker
 
Lock? We don't need no stinkin' locks!
Lock? We don't need no stinkin' locks!Lock? We don't need no stinkin' locks!
Lock? We don't need no stinkin' locks!
 
Vhdl programs
Vhdl programsVhdl programs
Vhdl programs
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
The Ring programming language version 1.8 book - Part 88 of 202
The Ring programming language version 1.8 book - Part 88 of 202The Ring programming language version 1.8 book - Part 88 of 202
The Ring programming language version 1.8 book - Part 88 of 202
 
Marat-Slides
Marat-SlidesMarat-Slides
Marat-Slides
 
3
33
3
 
Fpga creating counter with internal clock
Fpga   creating counter with internal clockFpga   creating counter with internal clock
Fpga creating counter with internal clock
 
망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15
 
Writing more complex models (continued)
Writing more complex models (continued)Writing more complex models (continued)
Writing more complex models (continued)
 
Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
 
FPGA Tutorial - LCD Interface
FPGA Tutorial - LCD InterfaceFPGA Tutorial - LCD Interface
FPGA Tutorial - LCD Interface
 
RISC-V : Berkeley Boot Loader & Proxy Kernelのソースコード解析
RISC-V : Berkeley Boot Loader & Proxy Kernelのソースコード解析RISC-V : Berkeley Boot Loader & Proxy Kernelのソースコード解析
RISC-V : Berkeley Boot Loader & Proxy Kernelのソースコード解析
 
hdl timer ppt.pptx
hdl timer ppt.pptxhdl timer ppt.pptx
hdl timer ppt.pptx
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manual
 
DHow2 - L6 VHDL
DHow2 - L6 VHDLDHow2 - L6 VHDL
DHow2 - L6 VHDL
 

Mehr von Fernando Marcos Marcos

LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...Fernando Marcos Marcos
 
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328PMultiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328PFernando Marcos Marcos
 
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOFernando Marcos Marcos
 
CONTADOR BINARIO DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO DESCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO DESCENDENTE DE 14 BITS CON ARDUINOFernando Marcos Marcos
 
CONTADOR BINARIO ASCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE DE 14 BITS CON ARDUINOFernando Marcos Marcos
 
CONTADOR BINARIO DESCENDENTE DE 8 BITS CON ARDUINO
CONTADOR BINARIO DESCENDENTE DE 8 BITS CON ARDUINOCONTADOR BINARIO DESCENDENTE DE 8 BITS CON ARDUINO
CONTADOR BINARIO DESCENDENTE DE 8 BITS CON ARDUINOFernando Marcos Marcos
 
CONTADOR BINARIO ASCENDENTE DE 8 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE DE 8 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE DE 8 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE DE 8 BITS CON ARDUINOFernando Marcos Marcos
 
MATRIZ LED 4x10 CON ARDUINO - ATMEGA328P
MATRIZ LED 4x10 CON ARDUINO - ATMEGA328PMATRIZ LED 4x10 CON ARDUINO - ATMEGA328P
MATRIZ LED 4x10 CON ARDUINO - ATMEGA328PFernando Marcos Marcos
 
GENERADOR DE SEÑALES CON LM741 - SIGNAL GENERATOR
GENERADOR DE SEÑALES CON LM741 - SIGNAL GENERATORGENERADOR DE SEÑALES CON LM741 - SIGNAL GENERATOR
GENERADOR DE SEÑALES CON LM741 - SIGNAL GENERATORFernando Marcos Marcos
 
DISEÑO DE UN DETECTOR DE VELOCIDAD CON ARDUINO
DISEÑO DE UN DETECTOR DE VELOCIDAD CON ARDUINODISEÑO DE UN DETECTOR DE VELOCIDAD CON ARDUINO
DISEÑO DE UN DETECTOR DE VELOCIDAD CON ARDUINOFernando Marcos Marcos
 
DISEÑO DE PCB CON MODULO DE TRANSMISIÓN Y RECEPCIÓN RN41
DISEÑO DE PCB CON MODULO DE TRANSMISIÓN Y RECEPCIÓN RN41DISEÑO DE PCB CON MODULO DE TRANSMISIÓN Y RECEPCIÓN RN41
DISEÑO DE PCB CON MODULO DE TRANSMISIÓN Y RECEPCIÓN RN41Fernando Marcos Marcos
 
DISEÑO Y DESARROLLO DE UNA PLACA PCB CON ATMEGA 328
DISEÑO Y DESARROLLO DE UNA PLACA PCB CON ATMEGA 328 DISEÑO Y DESARROLLO DE UNA PLACA PCB CON ATMEGA 328
DISEÑO Y DESARROLLO DE UNA PLACA PCB CON ATMEGA 328 Fernando Marcos Marcos
 
DISEÑO DEL JUEGO PING PONG EN FPGA - VHDL - VGA
DISEÑO DEL JUEGO PING PONG EN FPGA - VHDL - VGADISEÑO DEL JUEGO PING PONG EN FPGA - VHDL - VGA
DISEÑO DEL JUEGO PING PONG EN FPGA - VHDL - VGAFernando Marcos Marcos
 
PLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATOR
PLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATORPLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATOR
PLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATORFernando Marcos Marcos
 
USO DEL TRANSISTOR COMO SWITCH - TRANSISTOR EN CORTE Y EN SATURACION - TRANSI...
USO DEL TRANSISTOR COMO SWITCH - TRANSISTOR EN CORTE Y EN SATURACION - TRANSI...USO DEL TRANSISTOR COMO SWITCH - TRANSISTOR EN CORTE Y EN SATURACION - TRANSI...
USO DEL TRANSISTOR COMO SWITCH - TRANSISTOR EN CORTE Y EN SATURACION - TRANSI...Fernando Marcos Marcos
 
SISTEMA DE CONTROL Y MONITOREO DE HUMEDAD EN LOMBRICOMPOSTA - HUMIDITY MONITO...
SISTEMA DE CONTROL Y MONITOREO DE HUMEDAD EN LOMBRICOMPOSTA - HUMIDITY MONITO...SISTEMA DE CONTROL Y MONITOREO DE HUMEDAD EN LOMBRICOMPOSTA - HUMIDITY MONITO...
SISTEMA DE CONTROL Y MONITOREO DE HUMEDAD EN LOMBRICOMPOSTA - HUMIDITY MONITO...Fernando Marcos Marcos
 
DISEÑO ANALOGICO Y ELECTRONICA - ADC - CONVERTIDOR ANALÓGICO DIGITAL - ANALOG...
DISEÑO ANALOGICO Y ELECTRONICA - ADC - CONVERTIDOR ANALÓGICO DIGITAL - ANALOG...DISEÑO ANALOGICO Y ELECTRONICA - ADC - CONVERTIDOR ANALÓGICO DIGITAL - ANALOG...
DISEÑO ANALOGICO Y ELECTRONICA - ADC - CONVERTIDOR ANALÓGICO DIGITAL - ANALOG...Fernando Marcos Marcos
 

Mehr von Fernando Marcos Marcos (20)

LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
 
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328PMultiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
 
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
 
CONTADOR BINARIO DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO DESCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO DESCENDENTE DE 14 BITS CON ARDUINO
 
CONTADOR BINARIO ASCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE DE 14 BITS CON ARDUINO
 
CONTADOR BINARIO DESCENDENTE DE 8 BITS CON ARDUINO
CONTADOR BINARIO DESCENDENTE DE 8 BITS CON ARDUINOCONTADOR BINARIO DESCENDENTE DE 8 BITS CON ARDUINO
CONTADOR BINARIO DESCENDENTE DE 8 BITS CON ARDUINO
 
CONTADOR BINARIO ASCENDENTE DE 8 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE DE 8 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE DE 8 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE DE 8 BITS CON ARDUINO
 
MATRIZ LED 4x10 CON ARDUINO - ATMEGA328P
MATRIZ LED 4x10 CON ARDUINO - ATMEGA328PMATRIZ LED 4x10 CON ARDUINO - ATMEGA328P
MATRIZ LED 4x10 CON ARDUINO - ATMEGA328P
 
GENERADOR DE SEÑALES CON LM741 - SIGNAL GENERATOR
GENERADOR DE SEÑALES CON LM741 - SIGNAL GENERATORGENERADOR DE SEÑALES CON LM741 - SIGNAL GENERATOR
GENERADOR DE SEÑALES CON LM741 - SIGNAL GENERATOR
 
DISEÑO DE UN DETECTOR DE VELOCIDAD CON ARDUINO
DISEÑO DE UN DETECTOR DE VELOCIDAD CON ARDUINODISEÑO DE UN DETECTOR DE VELOCIDAD CON ARDUINO
DISEÑO DE UN DETECTOR DE VELOCIDAD CON ARDUINO
 
DISEÑO DE PCB CON MODULO DE TRANSMISIÓN Y RECEPCIÓN RN41
DISEÑO DE PCB CON MODULO DE TRANSMISIÓN Y RECEPCIÓN RN41DISEÑO DE PCB CON MODULO DE TRANSMISIÓN Y RECEPCIÓN RN41
DISEÑO DE PCB CON MODULO DE TRANSMISIÓN Y RECEPCIÓN RN41
 
DISEÑO Y DESARROLLO DE UNA PLACA PCB CON ATMEGA 328
DISEÑO Y DESARROLLO DE UNA PLACA PCB CON ATMEGA 328 DISEÑO Y DESARROLLO DE UNA PLACA PCB CON ATMEGA 328
DISEÑO Y DESARROLLO DE UNA PLACA PCB CON ATMEGA 328
 
DISEÑO DEL JUEGO PING PONG EN FPGA - VHDL - VGA
DISEÑO DEL JUEGO PING PONG EN FPGA - VHDL - VGADISEÑO DEL JUEGO PING PONG EN FPGA - VHDL - VGA
DISEÑO DEL JUEGO PING PONG EN FPGA - VHDL - VGA
 
APLICACIONES DE FOURIER
APLICACIONES DE FOURIERAPLICACIONES DE FOURIER
APLICACIONES DE FOURIER
 
APLICACIONES DE LAPLACE
APLICACIONES DE LAPLACEAPLICACIONES DE LAPLACE
APLICACIONES DE LAPLACE
 
CONTROL AUTOMATICO DE GANANCIA (AGC)
CONTROL AUTOMATICO DE GANANCIA (AGC)CONTROL AUTOMATICO DE GANANCIA (AGC)
CONTROL AUTOMATICO DE GANANCIA (AGC)
 
PLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATOR
PLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATORPLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATOR
PLL (OSCILADOR POR CAMBIO DE FASE) - PHASE SHIFT OSCILLATOR
 
USO DEL TRANSISTOR COMO SWITCH - TRANSISTOR EN CORTE Y EN SATURACION - TRANSI...
USO DEL TRANSISTOR COMO SWITCH - TRANSISTOR EN CORTE Y EN SATURACION - TRANSI...USO DEL TRANSISTOR COMO SWITCH - TRANSISTOR EN CORTE Y EN SATURACION - TRANSI...
USO DEL TRANSISTOR COMO SWITCH - TRANSISTOR EN CORTE Y EN SATURACION - TRANSI...
 
SISTEMA DE CONTROL Y MONITOREO DE HUMEDAD EN LOMBRICOMPOSTA - HUMIDITY MONITO...
SISTEMA DE CONTROL Y MONITOREO DE HUMEDAD EN LOMBRICOMPOSTA - HUMIDITY MONITO...SISTEMA DE CONTROL Y MONITOREO DE HUMEDAD EN LOMBRICOMPOSTA - HUMIDITY MONITO...
SISTEMA DE CONTROL Y MONITOREO DE HUMEDAD EN LOMBRICOMPOSTA - HUMIDITY MONITO...
 
DISEÑO ANALOGICO Y ELECTRONICA - ADC - CONVERTIDOR ANALÓGICO DIGITAL - ANALOG...
DISEÑO ANALOGICO Y ELECTRONICA - ADC - CONVERTIDOR ANALÓGICO DIGITAL - ANALOG...DISEÑO ANALOGICO Y ELECTRONICA - ADC - CONVERTIDOR ANALÓGICO DIGITAL - ANALOG...
DISEÑO ANALOGICO Y ELECTRONICA - ADC - CONVERTIDOR ANALÓGICO DIGITAL - ANALOG...
 

Kürzlich hochgeladen

Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
lifi-technology with integration of IOT.pptx
lifi-technology with integration of IOT.pptxlifi-technology with integration of IOT.pptx
lifi-technology with integration of IOT.pptxsomshekarkn64
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfAsst.prof M.Gokilavani
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...121011101441
 
Transport layer issues and challenges - Guide
Transport layer issues and challenges - GuideTransport layer issues and challenges - Guide
Transport layer issues and challenges - GuideGOPINATHS437943
 
Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substationstephanwindworld
 
computer application and construction management
computer application and construction managementcomputer application and construction management
computer application and construction managementMariconPadriquez1
 
8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitterShivangiSharma879191
 
Correctly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleCorrectly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleAlluxio, Inc.
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 

Kürzlich hochgeladen (20)

Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
lifi-technology with integration of IOT.pptx
lifi-technology with integration of IOT.pptxlifi-technology with integration of IOT.pptx
lifi-technology with integration of IOT.pptx
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...
 
Transport layer issues and challenges - Guide
Transport layer issues and challenges - GuideTransport layer issues and challenges - Guide
Transport layer issues and challenges - Guide
 
Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substation
 
computer application and construction management
computer application and construction managementcomputer application and construction management
computer application and construction management
 
8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter
 
Correctly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleCorrectly Loading Incremental Data at Scale
Correctly Loading Incremental Data at Scale
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 

DDAA FPGA - Multiplexor De Numeros en Display 7 Segmentos En Tiempo

  • 2. Planteamiento del problema Diseñar un sistema de multiplex ión en el tiempo que controle 4 displays enrutando 4 señales a una salida. Diseñe un circuito de incremento unitario de 8 bits, como se observa en el siguiente diagrama de la figura 1, y que muestre su salida en los 4 displays del tablero Nexys 2. Figura 1.
  • 3. DESARROLLO Se inicio desarrollando cada uno de los programas necesarios para crear el programa final (conjunto de programas o instancias). Incrementador unitario de 8 bits library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity incremento_unitario_8bits is generic(N:integer:=8); PORT( sw1: in STD_LOGIC_VECTOR(N-1 downto 0); swout: out STD_LOGIC_VECTOR(N-1 downto 0) ); end incremento_unitario_8bits; architecture Behavioral of incremento_unitario_8bits is begin swout <= std_logic_vector(unsigned(sw1) + 1); end Behavioral; Convertidor Hexadecimal a siente segmentos library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PRACTICA2_02 is port( hex: in std_logic_vector(3 downto 0); -- dp1: in std_logic; sseg: out std_logic_vector(7 downto 0) end PRACTICA2_02; architecture Behavioral of PRACTICA2_02 is begin process(hex) begin case hex is when "0000" => sseg(6 downto 0) <= "0000001"; --0 when "0001" => sseg(6 downto 0) <= "1001111"; --1 when "0010" => sseg(6 downto 0) <= "0010010"; --2 when "0011" => sseg(6 downto 0) <= "0000110"; --3 when "0100" => sseg(6 downto 0) <= "1001100"; --4 when "0101" =>
  • 4. sseg(6 downto 0) <= "0100100"; --5 when "0110" => sseg(6 downto 0) <= "0100000"; --6 when "0111" => sseg(6 downto 0) <= "0001111"; --7 when "1000" => sseg(6 downto 0) <= "0000000"; --8 when "1001" => sseg(6 downto 0) <= "0000100"; --9 when "1010" => sseg(6 downto 0) <= "0001000"; --A when "1011" => sseg(6 downto 0) <= "1100000"; --b when "1100" => sseg(6 downto 0) <= "0110001"; --C when "1101" => sseg(6 downto 0) <= "1000010"; --d when "1110" => sseg(6 downto 0) <= "0110000"; --E when others => sseg(6 downto 0) <= "1111110"; --F end case; end process; sseg(7) <= dp1; end Behavioral; Multiplexor de displays en el tiempo library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity mux4display is Generic(N:integer:=8); PORT( in1,in2,in3,in4: in STD_LOGIC_VECTOR(N-1 downto 0); clk,rst1: in STD_LOGIC; sseg1: out STD_LOGIC_VECTOR(N-1 downto 0); an: out STD_LOGIC_VECTOR(3 downto 0) ); end mux4display; architecture Behavioral of mux4display is CONSTANT N1:integer:=18; signal count1,count: unsigned(N1-1 downto 0); signal sel: std_logic_vector(1 downto 0); signal s1: std_logic_vector(3 downto 0); begin process(clk,rst1) begin if(rst1 = '1') then
  • 5. count1 <= (others => '0'); --sseg1 <= "11111111"; an <= "1111"; elsif(clk'event and clk = '1') then count1 <= count; an <= s1; end if; end process; count <= count1 + 1; sel <= STD_LOGIC_VECTOR(count1(N-1 downto N-2)); process(in1,in2,in3,in4,sel) begin case sel is when "00" => sseg1 <= in1; s1 <= "1110"; when "01" => sseg1 <= in2; s1 <= "1101"; when "10" => sseg1 <= in3; s1 <= "1011"; when others => sseg1 <= in4; s1 <= "0111"; end case; end process; end Behavioral; Realizados los programas necesario, ahora solo falta hacer el programa de mayor jerarquía, el cual contendrá las instancias de cada uno de los tres programa anterior, en esta parte lo único que se realiza es la asignación de señales entre cada instancia, el programa realizado es el siguiente. library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity sistem_mux4display_time is PORT( sw: in STD_LOGIC_VECTOR(7 downto 0); clk,rst,dp: in STD_LOGIC; sseg_out: out STD_LOGIC_VECTOR(7 downto 0); an_out: out STD_LOGIC_VECTOR(3 downto 0) );
  • 6. end sistem_mux4display_time; architecture Behavioral of sistem_mux4display_time is signal swin,disp1,disp2,disp3,disp4: STD_LOGIC_VECTOR(7 downto 0); begin --INSTANCIA SUMADOR UNITARIO DE 8 BITS sum: entity work.incremento_unitario_8bits(Behavioral) PORT MAP(sw1 => sw, swout => swin); --INSTANCIA HEX_TO_SEG1 hex_to_sseg1: entity work.PRACTICA2_02(Behavioral) PORT MAP(hex => sw(7 downto 4), sseg => disp1, dp1 => dp); --INSTANCIA HEX_TO_SEG2 hex_to_sseg2: entity work.PRACTICA2_02(Behavioral) PORT MAP(hex => sw(3 downto 0), sseg => disp2, dp1 => dp); --INSTANCIA HEX_TO_SEG1 hex_to_sseg3: entity work.PRACTICA2_02(Behavioral) PORT MAP(hex => swin(7 downto 4), sseg => disp3, dp1 => dp); --INSTANCIA HEX_TO_SEG1 hex_to_sseg4: entity work.PRACTICA2_02(Behavioral) PORT MAP(hex => swin(3 downto 0), sseg => disp4, dp1 => dp); --INTANCIA MULTIPLEXOR DE DISPLAYS disp_mux: entity work.mux4display(Behavioral) PORT MAP(in1 => disp1, in2 => disp2, in3 => disp3, in4 => disp4, clk => clk, rst1 => rst, sseg1 => sseg_out, an => an_out); end Behavioral; El esquemático RTL es el siguiente. Figura 2.
  • 7. Asignación de pines en PlanAhead Figura 3. Evidencia de Funcionamiento Figura 4. Figura 5.