SlideShare ist ein Scribd-Unternehmen logo
1 von 13
Downloaden Sie, um offline zu lesen
Integrating Formal Into Main-Stream VeriïŹcation: The IBM
Experience
Jason Baumgartner, Viresh Paruthi
IBM Corporation
Thanks to: Hari Mony, Wolfgang Roesner
March 21, 2007
http://www.research.ibm.com/sixthsense
1
Overview
ÂŻ Simulation vs. Formal VeriïŹcation (FV)
ÂŻ Bringing FV to the Masses
– Fit FV within Existing Design Methodology
– Enable Non-Experts to Leverage FV
– Scale FV to Large Testbenches
– Increase Return on Investment through Testbench Reuse
ÂŻ Reusing Sim Testbenches in FV
2
Simulation
ÂŻ Validates the design against speciïŹc sequences of input stimuli
  Scalable, though non-exhaustive: suffers the coverage problem
ÂŻ Sim specs can be written using a variety of languages
+ Synthesizable languages: PSL, SVA, HDL-based
  Non-synthesizable languages: C / C++ variants
  These languages cannot readily be reused in formal, emulation
Bug
Random Sim
Unexplored
State Space
3
Formal VeriïŹcation
+ Exhaustive (unlike sim): ïŹnds corner-case bugs, yields proofs
+ Automated: easy to use, for smaller problems (block-level)
  Substantial expertise, manual effort required for larger designs
  More difïŹcult to cover (micro-)architectural properties
  A different type of coverage problem
  Requires synthesizable languages: PSL, SVA, HDL-based
Bug
Exhaustive
Search
Unexplored
State Space
Completed
4
Simulation vs. FV
ÂŻ Sim retains predominant industrial framework due to
1. Scalability: useful for tasks too large for FV
– May refer to as ease of use
2. Risk that formal spec may not pay off; merely choke FV tool
3. Legacy: tools, skills, methodology using sim are well-established
4. Reuse of verif IP: cost to rewrite sim specs in a formal language
ÂŻ Though sim has its own drawbacks
– Misses bugs!
– Methodologies for high coverage are time-consuming
5
How can we close the Sim FV Gap?
ÂŻ Full FV of complex designs requires expensive, risky paradigm shift
– A good goal, but needs to be eased into...
6
How can we ease into Wider-Spread FV? (1)
ÂŻ Do not require a radical change in design paradigm to enable FV
– Design methodology change has associated cost, risk in itself
– Need for reuse of IP, skills, tools, methodologies is a high barrier
ÂŻ While such a change may have many long-term beneïŹts...
– There are many bugs to be found in today’s design paradigm!
7
How can we ease into Wider-Spread FV? (2)
ÂŻ Enable non-experts to leverage FV
– Cannot expect verif+design team to all have PhDs in FV!
ÂŁ Goal: make FV as easy to use as sim
ÂŁ Ease of use requires scalability and automation
– Costly to always throw learning curve of new design at FV gurus
ÂŁ More cost effective for designer / local verif team to write specs?
– Leverage easy-to-use sequential equiv checking paradigms
8
How do we achieve Scalability and Automation?
1. Tune system for importing and manipulating LARGE designs
2. Integrate falsiïŹcation as well as proof threads
ÂŻ Semi-formal falsiïŹcation improves ROI of formal spec
3. Integrate a variety of algorithms
ÂŻ Every problem is different
ÂŻ Different proof algorithms have different strengths / weaknesses
ÂŻ Technological advances continue to push the capacity of FV
9
Semi-Formal VeriïŹcation
ÂŻ Uses resource-bounded formal search to amplify simulation
– Leverages simulation to reach deep states
– Formal search triggered from deep states
ÂŻ Much more scalable than pure formal; lessens formal spec risk
– Very useful for quickly ïŹ‚ushing out complex design bugs
– Enabling technology for wider-spread formal
Bug
Random Sim
Exhaustive
Search
Completed
Unexplored
State Space
10
How can we ease into Wider-Spread FV? (3)
ÂŻ Offer tangible return on investment (ROI) and resource savings
– Scalability reduces negative ROI risk of formal spec development
– Leverage FV without substantial head-count increase
ÂŁ Cannot afford disjoint sim + FV team for every design component
ÂĄ Goal: reuse specs across formal + sim
ÂĄ Need to disperse FV spec and deployment from team of gurus
11
Testbench Reuse
ÂŻ Requires scaling FV to unit-level testbenches
+ More meaningful than block-level testbenches
+ Better-documented interfaces to drive
+ More encompassing properties to check
+ Verify functionality vs. verify blocks
+ More cost-effective: fewer testbenches to cover design
  Big, ugly testbenches may need tweaking for optimal formal results
– Reserve FV gurus for this purpose
– (and for emergencies)
12
Conclusion
ÂŻ IBM SixthSense philosophy: non-intrusive FV
– Scale FV to sim-sized testbenches
ÂŁ Integrate semi-formal, and variety of synergistic algos
– Ensure high automation, ease of use
ÂŻ Push for reusable testbenches across sim + FV
– Greater ROI of speciïŹcation investment
– Disperse formal spec effort; retain FV gurus for critical tasks
ÂŻ Result: substantially wider-spread use of FV
13

Weitere Àhnliche Inhalte

Ähnlich wie Integrating Formal Into Main-Stream Verification: The IBM Experience

I Never Thought I Would Grow Up to be This Formal
I Never Thought I Would Grow Up to be This FormalI Never Thought I Would Grow Up to be This Formal
I Never Thought I Would Grow Up to be This Formal
DVClub
 
ModifiedInternPresentation
ModifiedInternPresentationModifiedInternPresentation
ModifiedInternPresentation
Dan Petrisko
 
Firmware Improvement Roadmap
Firmware Improvement RoadmapFirmware Improvement Roadmap
Firmware Improvement Roadmap
Scott Sweeting
 
Dev ops for mainframe innovate session 2402
Dev ops for mainframe innovate session 2402Dev ops for mainframe innovate session 2402
Dev ops for mainframe innovate session 2402
Rosalind Radcliffe
 
Curiosity software Ireland and Perfecto present: achieving in-sprint regressi...
Curiosity software Ireland and Perfecto present: achieving in-sprint regressi...Curiosity software Ireland and Perfecto present: achieving in-sprint regressi...
Curiosity software Ireland and Perfecto present: achieving in-sprint regressi...
Curiosity Software Ireland
 
Fllow con 2014
Fllow con 2014 Fllow con 2014
Fllow con 2014
gbgruver
 
Sudhir_Kr_Resume
Sudhir_Kr_ResumeSudhir_Kr_Resume
Sudhir_Kr_Resume
Sudhir Kumar
 

Ähnlich wie Integrating Formal Into Main-Stream Verification: The IBM Experience (20)

I Never Thought I Would Grow Up to be This Formal
I Never Thought I Would Grow Up to be This FormalI Never Thought I Would Grow Up to be This Formal
I Never Thought I Would Grow Up to be This Formal
 
ModifiedInternPresentation
ModifiedInternPresentationModifiedInternPresentation
ModifiedInternPresentation
 
Model-based Testing of a Software Bus - Applied on Core Flight Executive
Model-based Testing of a Software Bus - Applied on Core Flight ExecutiveModel-based Testing of a Software Bus - Applied on Core Flight Executive
Model-based Testing of a Software Bus - Applied on Core Flight Executive
 
Firmware Improvement Roadmap
Firmware Improvement RoadmapFirmware Improvement Roadmap
Firmware Improvement Roadmap
 
Dev ops for mainframe innovate session 2402
Dev ops for mainframe innovate session 2402Dev ops for mainframe innovate session 2402
Dev ops for mainframe innovate session 2402
 
Curiosity software Ireland and Perfecto present: achieving in-sprint regressi...
Curiosity software Ireland and Perfecto present: achieving in-sprint regressi...Curiosity software Ireland and Perfecto present: achieving in-sprint regressi...
Curiosity software Ireland and Perfecto present: achieving in-sprint regressi...
 
Continuous Integration to Shift Left Testing Across the Enterprise Stack
Continuous Integration to Shift Left Testing Across the Enterprise StackContinuous Integration to Shift Left Testing Across the Enterprise Stack
Continuous Integration to Shift Left Testing Across the Enterprise Stack
 
Fllow con 2014
Fllow con 2014 Fllow con 2014
Fllow con 2014
 
Dv con us-2018-formal-fpu-verif-xilinx-onespin
Dv con us-2018-formal-fpu-verif-xilinx-onespinDv con us-2018-formal-fpu-verif-xilinx-onespin
Dv con us-2018-formal-fpu-verif-xilinx-onespin
 
DevOps and Build Automation
DevOps and Build AutomationDevOps and Build Automation
DevOps and Build Automation
 
Sudhir_Kr_Resume
Sudhir_Kr_ResumeSudhir_Kr_Resume
Sudhir_Kr_Resume
 
How to test a Mainframe Application
How to test a Mainframe ApplicationHow to test a Mainframe Application
How to test a Mainframe Application
 
Using DevOps to Improve Software Quality in the Cloud
Using DevOps to Improve Software Quality in the CloudUsing DevOps to Improve Software Quality in the Cloud
Using DevOps to Improve Software Quality in the Cloud
 
Nikhil Sharma Resume
Nikhil Sharma ResumeNikhil Sharma Resume
Nikhil Sharma Resume
 
The unintended benefits of Chef
The unintended benefits of ChefThe unintended benefits of Chef
The unintended benefits of Chef
 
Make software like they make cars!
Make software like they make cars!Make software like they make cars!
Make software like they make cars!
 
[DPE Summit] How Improving the Testing Experience Goes Beyond Quality: A Deve...
[DPE Summit] How Improving the Testing Experience Goes Beyond Quality: A Deve...[DPE Summit] How Improving the Testing Experience Goes Beyond Quality: A Deve...
[DPE Summit] How Improving the Testing Experience Goes Beyond Quality: A Deve...
 
Enhancing Quality and Test in Medical Device Design - Part 2.pdf
Enhancing Quality and Test in Medical Device Design - Part 2.pdfEnhancing Quality and Test in Medical Device Design - Part 2.pdf
Enhancing Quality and Test in Medical Device Design - Part 2.pdf
 
Pre-Con Education: Introduction to Mainframe Academy With CA Technologies
Pre-Con Education: Introduction to Mainframe Academy With CA TechnologiesPre-Con Education: Introduction to Mainframe Academy With CA Technologies
Pre-Con Education: Introduction to Mainframe Academy With CA Technologies
 
C:\documents and settings\selvam.mc\my documents\automation testing process
C:\documents and settings\selvam.mc\my documents\automation testing processC:\documents and settings\selvam.mc\my documents\automation testing process
C:\documents and settings\selvam.mc\my documents\automation testing process
 

Mehr von DVClub

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
DVClub
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
DVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
DVClub
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
DVClub
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
DVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
DVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
DVClub
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
DVClub
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
DVClub
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
DVClub
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
DVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
DVClub
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
DVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
DVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
DVClub
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
DVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
DVClub
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
DVClub
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
DVClub
 

Mehr von DVClub (20)

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 

KĂŒrzlich hochgeladen

CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
giselly40
 

KĂŒrzlich hochgeladen (20)

A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 

Integrating Formal Into Main-Stream Verification: The IBM Experience

  • 1. Integrating Formal Into Main-Stream VeriïŹcation: The IBM Experience Jason Baumgartner, Viresh Paruthi IBM Corporation Thanks to: Hari Mony, Wolfgang Roesner March 21, 2007 http://www.research.ibm.com/sixthsense 1
  • 2. Overview ÂŻ Simulation vs. Formal VeriïŹcation (FV) ÂŻ Bringing FV to the Masses – Fit FV within Existing Design Methodology – Enable Non-Experts to Leverage FV – Scale FV to Large Testbenches – Increase Return on Investment through Testbench Reuse ÂŻ Reusing Sim Testbenches in FV 2
  • 3. Simulation ÂŻ Validates the design against speciïŹc sequences of input stimuli   Scalable, though non-exhaustive: suffers the coverage problem ÂŻ Sim specs can be written using a variety of languages + Synthesizable languages: PSL, SVA, HDL-based   Non-synthesizable languages: C / C++ variants   These languages cannot readily be reused in formal, emulation Bug Random Sim Unexplored State Space 3
  • 4. Formal VeriïŹcation + Exhaustive (unlike sim): ïŹnds corner-case bugs, yields proofs + Automated: easy to use, for smaller problems (block-level)   Substantial expertise, manual effort required for larger designs   More difïŹcult to cover (micro-)architectural properties   A different type of coverage problem   Requires synthesizable languages: PSL, SVA, HDL-based Bug Exhaustive Search Unexplored State Space Completed 4
  • 5. Simulation vs. FV ÂŻ Sim retains predominant industrial framework due to 1. Scalability: useful for tasks too large for FV – May refer to as ease of use 2. Risk that formal spec may not pay off; merely choke FV tool 3. Legacy: tools, skills, methodology using sim are well-established 4. Reuse of verif IP: cost to rewrite sim specs in a formal language ÂŻ Though sim has its own drawbacks – Misses bugs! – Methodologies for high coverage are time-consuming 5
  • 6. How can we close the Sim FV Gap? ÂŻ Full FV of complex designs requires expensive, risky paradigm shift – A good goal, but needs to be eased into... 6
  • 7. How can we ease into Wider-Spread FV? (1) ÂŻ Do not require a radical change in design paradigm to enable FV – Design methodology change has associated cost, risk in itself – Need for reuse of IP, skills, tools, methodologies is a high barrier ÂŻ While such a change may have many long-term beneïŹts... – There are many bugs to be found in today’s design paradigm! 7
  • 8. How can we ease into Wider-Spread FV? (2) ÂŻ Enable non-experts to leverage FV – Cannot expect verif+design team to all have PhDs in FV! ÂŁ Goal: make FV as easy to use as sim ÂŁ Ease of use requires scalability and automation – Costly to always throw learning curve of new design at FV gurus ÂŁ More cost effective for designer / local verif team to write specs? – Leverage easy-to-use sequential equiv checking paradigms 8
  • 9. How do we achieve Scalability and Automation? 1. Tune system for importing and manipulating LARGE designs 2. Integrate falsiïŹcation as well as proof threads ÂŻ Semi-formal falsiïŹcation improves ROI of formal spec 3. Integrate a variety of algorithms ÂŻ Every problem is different ÂŻ Different proof algorithms have different strengths / weaknesses ÂŻ Technological advances continue to push the capacity of FV 9
  • 10. Semi-Formal VeriïŹcation ÂŻ Uses resource-bounded formal search to amplify simulation – Leverages simulation to reach deep states – Formal search triggered from deep states ÂŻ Much more scalable than pure formal; lessens formal spec risk – Very useful for quickly ïŹ‚ushing out complex design bugs – Enabling technology for wider-spread formal Bug Random Sim Exhaustive Search Completed Unexplored State Space 10
  • 11. How can we ease into Wider-Spread FV? (3) ÂŻ Offer tangible return on investment (ROI) and resource savings – Scalability reduces negative ROI risk of formal spec development – Leverage FV without substantial head-count increase ÂŁ Cannot afford disjoint sim + FV team for every design component ÂĄ Goal: reuse specs across formal + sim ÂĄ Need to disperse FV spec and deployment from team of gurus 11
  • 12. Testbench Reuse ÂŻ Requires scaling FV to unit-level testbenches + More meaningful than block-level testbenches + Better-documented interfaces to drive + More encompassing properties to check + Verify functionality vs. verify blocks + More cost-effective: fewer testbenches to cover design   Big, ugly testbenches may need tweaking for optimal formal results – Reserve FV gurus for this purpose – (and for emergencies) 12
  • 13. Conclusion ÂŻ IBM SixthSense philosophy: non-intrusive FV – Scale FV to sim-sized testbenches ÂŁ Integrate semi-formal, and variety of synergistic algos – Ensure high automation, ease of use ÂŻ Push for reusable testbenches across sim + FV – Greater ROI of speciïŹcation investment – Disperse formal spec effort; retain FV gurus for critical tasks ÂŻ Result: substantially wider-spread use of FV 13