SlideShare ist ein Scribd-Unternehmen logo
1 von 29
Downloaden Sie, um offline zu lesen
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 1 of 29
“Zeppelin”: an SoC for
Multi-chip Architectures
Noah Beck1, Sean White1, Milam Paraschou2, Samuel Naffziger2
1AMD, Boxborough, 2AMD, Fort Collins
Presented at ISSCC 2018
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 2 of 29
Outline
▪ Design Goals for the System-on-a-Chip codenamed “Zeppelin”
▪ SoC Architecture
▪ Core Complex codenamed “Zen”
▪ AMD Infinity Fabric (IF)
▪ I/O Capabilities, I/O muxing
▪ Floorplan and Packaging
▪ Results
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 3 of 29
“Zeppelin” SoC Goals
Design a System-on-a-Chip Solution for scalability
across the Server market
▪ 4-die multi-chip module (MCM) for Server in new
infrastructure
▪ Same SoC suitable for High-End Desktop
– 1-die Desktop in existing AM4 infrastructure
– 2-die MCM High-End Desktop in new infrastructure
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 4 of 29
“Zeppelin” Die Functional Overview
▪ Compute
– 8 “Zen” x86 cores
– 4MB total L2 cache
– 16 MB total L3 cache
▪ Memory
– 2 channel DDR4 with ECC
– 2 DIMMs/channel and
up to 256GB/channel
▪ Integrated I/O
– Coherent and control Infinity Fabric links
– 32 lanes high-speed SERDES
– 4 USB3.1 Gen1 ports
– Server Controller Hub (SPI, LPC, UART, I2C, RTC, SMBus)
IFIS/PCIe® IFOP IFOP
Zen
Zen
Zen
Zen
L3
Zen
Zen
Zen
Zen
L3
IFOP IFIS/PCIe/SATAIFOP
DDR
DDR
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 5 of 29
Chip Architecture
Infinity Fabric
Scalable Data Fabric
plane
IFIS/PCIe®
IFIS/PCIe/SATA
IFOP IF SCF
SMU
CAKE CAKE CAKE
PCIe Southbridge
IO Complex
SATA PCIe
CCM
CCX
4 cores +
L3
CAKE
CAKE
IFOP
CCX
4 cores +
L3
CCM
IFOP
DDRDDRIFOP
IOMS
CAKE UMC UMC
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 6 of 29
CCX: CPU Complex
▪ 4 cores with L1/L2 caches,
plus shared L3 cache
▪ “Zen” core described in
[Singh ISSCC17]
– L1 Instruction Cache 64KB,
4-way associative
– L1 Data Cache 32KB,
8-way associative
– L2 Cache 512KB,
8-way associative
– 2 threads per core
▪ L3 cache 8MB, 16-way associative, shared by all four cores
CORE 3
CORE L3M
1MB
L
3
C
T
L
L
2
C
T
L
L2M
512K
L3M
1MB
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 7 of 29
▪ Fast private L2 cache,
12 cycles
▪ Fast shared L3 cache,
35 cycles
▪ L3 filled from L2 victims
of all four cores
▪ L2 tags duplicated in L3 for
probe filtering and fast cache transfer
▪ Multiple smart prefetchers
▪ 50 outstanding misses from L2 to L3 per core
▪ 96 outstanding misses from L3 to memory
“Zen” Cache hierarchy
32B
fetch
32B/
cycle
CORE 0
32B/
cycle
2*16B
load
8M L3
I+D
Cache
16-way
32K
D-Cache
8-way
64K
I-Cache
4-way
512K L2
I+D
Cache
8-way
1*16B
store
32B/
cycle
32B/
cycle
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 8 of 29
AMD Infinity Fabric: Scalable Data Fabric
SDF Transport Layer CAKE
IFIS or
IFOP to
off-chip
IOMS
IO
Complex
CCM
CCX
CCM
CCX
UMC
DDR4
UMC
DDR4
I/O Master/Slave
Unified Memory
Controller
Coherent AMD
SocKet Extender
IF Inter-
Socket SerDes
IF On-Package
SerDes
Cache-Coherent Master
Core Complex
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 9 of 29
SDF Local Memory Access
SDF Transport Layer CAKE
IFIS or
IFOP to
off-chip
IOMS
IO
Complex
CCM
CCX
CCM
CCX
UMC
DDR4
UMC
DDR4
Latency to local memory: ~90ns
* See Endnotes for additional system configuration details
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 10 of 29
SDF Die-to-Die Memory Accesses
SDF Transport Layer CAKE IFOP
CCM
CCX
CCM
CCX
UMC DDR4
Latency to other memory
within socket: ~145ns
CAKEIFIS
CAKE
SDF Transport
Layer
Latency to memory
attached to other socket
(single hop): ~200ns
IFOP
* See Endnotes for additional system configuration details
UMCDDR4
CAKE
SDF Transport
Layer
IFIS
Other socket
die
Same package
die
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 11 of 29
▪ Low-swing, single-ended data for ~50% of power of an equivalent
differential driver
▪ Zero power driver state
during logic 0 transmit
– Transmit/receive
impedance termination to
ground while driver pullup
is disabled
– Also applied during link idle
▪ Data bit inversion
encoding saving 10%
average power per bit
2pJ/bit IFOP SerDes
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 12 of 29
Hierarchical Power Management
▪ System Management Unit (SMU) uses
IF Scalable Control Fabric (SCF) plane
▪ SCF: single-lane IFIS SerDes link for
chip-to-chip or socket-to-socket
▪ SMU calculation hierarchy for voltage
level control, C-State Boost, thermal
management, electrical design current
management
– Local chip SMU fast loop
– Master chip SMU slower loop
Die 2
Die 1
Die 3
Die 0
SMU
SMU
SMU
Master
SMU
To other socket
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 13 of 29
IO Subsystem & Muxing
▪ 32 lanes multi-protocol I/O
– PCIe, IFIS: two 16-lane links
– PCIe link bifurcation:
max 8 devices per 16-lane link
– SATA: 8 lanes of bottom link
▪ Supports multiple market
segments
▪ Muxing support adds
<1 channel clock latency to IFIS
16-lane link
x16
x16
x8 x8
x4 x4 x4 x4
x2 x2 x2 x2 x2 x2 x2 x2
x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1
x2 x2 x2 x2 x2 x2 x2 x2
x4 x4 x4 x4
16-lane link
x8 x8
x16
x16
IFIS
PCIe
SATA
x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1
x1 x1 x1 x1 x1 x1 x1 x1
I/O
CCX CCX
DDR I/O
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 14 of 29
Chip Floorplanning for Package
▪ DDR placement on one die edge
▪ Chips in 4-die MCM rotated 180°,
DDR facing package left/right edges
▪ Package-top Infinity Fabric pinout
requires diagonal placement of IFIS
▪ 4th IFOP enables routing of high-
speed I/O in only four package
substrate
layers
I/O
CCX CCX
DDR I/O
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 15 of 29
DDR+IFOP Package Routing
▪ Vertical and Horizontal IFOP: 2 layers each
▪ Diagonal IFOP: 1 layer each
▪ DDR channel: 1 layer each
Layer A Layer B
I/ODDR
Die2
CCX
CCX
I/O
DDR
Die1
CCX
CCX
I/O
I/ODDR
Die3
CCX
CCX
I/O
DDR
Die0
CCX
CCX
I/O
I/OI/O
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 16 of 29
DDR+IFIS Package Routing
▪ DDR channel: 1 layer each
▪ IFIS links: 2 layers each Layer C Layer D
I/ODDR
Die2
CCX
CCX
I/O
DDR
Die1
CCX
CCX
I/O
I/ODDR
Die3
CCX
CCX
I/O
DDR
Die0
CCX
CCX
I/O
I/OI/O
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 17 of 29
MCM Versus Single-Chip Design
▪ 4-die MCM package: 852mm2 of silicon (4 * 213mm2)
▪ Large single-chip design:
– ~10% area savings: 777mm2 (near reticle size limit)
– Manufacturing/test cost: ~40% higher
– Full 32-core yield: ~17% lower
– Full 32-core cost: ~70% higher
▪ High-yielding multi-chip assembly process
– Achievable based on internal production data
– Die frequency matching using on-die frequency sensors
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 18 of 29
MCM Package Achievements
▪ 4094 total LGA pins
▪ 58mm x 75mm organic substrate
▪ 534 IF high-speed chip-to-chip nets
– Over 256GB/s total in-package bandwidth
▪ 1760 high-speed pins
– Over 450GB/s total off-package bandwidth
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 19 of 29
More MCM Package Achievements
▪ ~300µF of on-package cap
▪ ~300A current
▪ Up to 200W TDP
Core supply pins, 180A
Uncore supply pins, 65A
1.2Vsupplypins,30A
1.2Vsupplypins,30A
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 20 of 29
MCM Core Voltage Variation
▪ Per-core measurements shown
– +/-25mV accuracy with max power
workload
▪ Per-core ring oscillators
– Calibrated for temperature and voltage
– Min/max voltage sampled 470M/s
▪ Static differences compensated by
per-core LDOs
▪ Dynamic differences mitigated by
clock stretcher, DPM states
I/ODDR
Die 2
I/O
DDRDDRDDR
+10.8
+10.8
+7.3
+14.4
+8.9
+12.5
-5.0
-20.9
I/ODDR
Die 1
I/O
I/O
I/O
DDRDDRDDR
+10.5
+10.9
+10.9
-6.5+25.1
+14.1
+7.4
+14.3
I/ODDR
Die 3
I/O
I/O
I/O
DDRDDR
-9.5
-3.0
-3.0
+3.8
-6.4
-13.1
-16.4
-25.7
I/ODDR
Die 0
I/O
I/O
I/O
DDR
-7.3
+6.5
-6.6
-20.1-7.3
-7.3
-9.7
-0.2
* See Endnotes for additional system configuration details
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 21 of 29
Core Voltage Measurements
▪ Measured data shows
excellent tracking of per-
core voltage from the
digital LDO with mV-
accurate target voltage
▪ Power savings through
per-core voltage
optimization
* See Endnotes for additional system configuration details
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 22 of 29
4-Chip EPYC Package
▪ 128 lanes can be used as PCIe
– Attach six 16-lane accelerator cards
to a single socket
▪ 8 DDR4 channels
NIC
16 DIMMs
Memory
8 Drives
Single Socket AMD EPYCTM System
64 lanes High-speed I/O
I/O
Die2
CCX
CCX
I/O
DDR
Die1
CCX
CCX
I/ODDR
I/O
Die3
CCX
CCX
I/O
DDR
Die0
CCX
CCX
I/ODDR
I/OI/O
64 lanes High-speed I/O
4 Channels
DDR4
4 Channels
DDR4
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 23 of 29
Dual 4-Chip EPYC Packages
Dual Socket AMD EPYCTM System
128 lanes High-speed I/O
I/O
Die2
CCX
CCX
I/O
DDR
Die1
CCX
CCX
I/O
DDR
Die0
CCX
CCX
I/O
DDR
I/OI/O
4 Channels
DDR4
4 Channels
DDR4
I/O
Die2
CCX
CCX
I/O
DDR
Die1
CCX
CCX
I/O
DDR
Die0
CCX
CCX
I/O
DDR
I/OI/O
4 Channels
DDR4
4 Channels
DDR4
Die3
CCX
CCX
I/O
DDRI/O
I/O
Die3
CCX
CCX
I/O
DDR
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 24 of 29
Single Chip AM4 Package
▪ Socket compatible with
other AMD SoCs for
desktop market
▪ 8 cores / 16 threads
▪ 2 DDR4 channels
▪ 24 PCIe Gen3 lanes
▪ Up to 95W TDP
AMD RyzenTM System
Die
CCX
CCX
I/O
DDR
I/O
24 lanes High-speed I/O
2 Channels
DDR4
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 25 of 29
2-Chip sTR4 Package
▪ Socket defined for “Zeppelin”
SoC and compatible with future
designs
▪ 16 cores / 32 threads
▪ 4 DDR4 channels
▪ 64 PCIe Gen3 lanes
AMD RyzenTM ThreadripperTM System
32 lanes High-speed I/O
I/O
Die 1
CCX
CCX
I/O
DDR
Die 0
CCX
CCX
I/O
DDR
I/O
32 lanes High-speed I/O
2 Channels
DDR4
2 Channels
DDR4
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 26 of 29
Benchmark results
▪ Scalable performance
from single-chip up to
8-chip 2-socket
configuration
* See Endnotes for additional system configuration details
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 27 of 29
IFIS/PCIe IFOP IFOP
Zen
Zen
Zen
Zen
L3
Zen
Zen
Zen
Zen
L3
IFOP IFIS/PCIe/SATAIFOP
DDR
DDR
An SoC for Multi-chip Architectures
Mainstream Desktop Performance Server High-End Desktop
Dummy
Dummy
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 28 of 29
Acknowledgment
▪ We would like to thank our talented AMD design teams across
Austin, Bangalore, Boston, Fort Collins, Hyderabad, Markham,
Santa Clara, and Shanghai, who contributed on “Zen” and “Zeppelin”
▪ Please check out our demo tonight
2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 29 of 29
Endnotes
AMD, the AMD Arrow logo, and combinations thereof are trademarks of Advanced Micro Devices, Inc. Other product names used in this
publication are for identification purposes only and may be trademarks of their respective companies.
Slides 9, 10:
Latencies assume 2.4GHz CPU core frequency and 1R DDR4-2667 19-19-19 RDIMM; Memory, IFIS, IFOP latencies are dependent on DRAM
clock; Memory latencies include testing overhead (including DRAM refresh).
Slides 20, 21:
Power measurements taken from a SP3 Diesel non-DAP AMD evaluation system, with EPYC rev B1 parts, BIOS revision WDL7405N,
Windows Server 2016, running a Max Power pattern at 2.5GHz core frequency
Slide 26:
AMD RyzenTM 7 1800X CPU scored 211, using estimated scores based on testing performed in AMD Internal Labs as of 30 March 2017.
System config: RyzenTM 7 1800X: AMD Myrtle-SM with 95W R7 1800X, 32GB DDR4-2667 RAM, Crucial CT256M550SSD, Ubuntu 15.10,
GCC –O2 v4.6 compiler suite.
AMD RyzenTM ThreadripperTM 1950X CPU scored 375, using estimated scores based on testing performed in AMD Internal Labs as of 7
September 2017. System config: RyzenTM ThreadripperTM 1950X: AMD Whitehaven-DAP with 180W TR 1950X, 64GB DDR4-2667 RAM,
CT256M4SSD disk, Ubuntu 15.10, GCC –O2 v4.6 compiler suite.
AMD EPYCTM 7601 CPU scored 702 in a 1-socket using estimated scores based on internal AMD testing as of 6 June 2017. 1 x EPYCTM 7601
CPU in HPE Cloudline CL3150, Ubuntu 16.04, GCC -O2 v6.3 compiler suite, 256 GB (8 x 32 GB 2Rx4 PC4-2666) memory, 1 x 500 GB SSD
AMD EPYCTM 7601 scored 1390 in a 2-socket system using estimated scores based on internal AMD testing as of 6 June 2017. 2 x EPYCTM
7601 CPU in Supermicro AS-1123US-TR4, Ubuntu 16.04, GCC -O2 v6.3 compiler suite, 512 GB (16 x 32GB 2Rx4 PC4-2666 running at 2400)
memory, 1 x 500 GB SSD.

Weitere ähnliche Inhalte

Was ist angesagt?

7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance AMD
 
AMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores ArchitectureAMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores ArchitectureLow Hong Chuan
 
Delivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingDelivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingAMD
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUAMD
 
DPDK in Containers Hands-on Lab
DPDK in Containers Hands-on LabDPDK in Containers Hands-on Lab
DPDK in Containers Hands-on LabMichelle Holley
 
AMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD
 
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based MultiprocessingArm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based MultiprocessingArm
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUAMD
 
AMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD
 
Receive side scaling (RSS) with eBPF in QEMU and virtio-net
Receive side scaling (RSS) with eBPF in QEMU and virtio-netReceive side scaling (RSS) with eBPF in QEMU and virtio-net
Receive side scaling (RSS) with eBPF in QEMU and virtio-netYan Vugenfirer
 
Shared Memory Centric Computing with CXL & OMI
Shared Memory Centric Computing with CXL & OMIShared Memory Centric Computing with CXL & OMI
Shared Memory Centric Computing with CXL & OMIAllan Cantle
 
Computing Performance: On the Horizon (2021)
Computing Performance: On the Horizon (2021)Computing Performance: On the Horizon (2021)
Computing Performance: On the Horizon (2021)Brendan Gregg
 
NEDIA_SNIA_CXL_講演資料.pdf
NEDIA_SNIA_CXL_講演資料.pdfNEDIA_SNIA_CXL_講演資料.pdf
NEDIA_SNIA_CXL_講演資料.pdfYasunori Goto
 
1 intro to_dpdk_and_hw
1 intro to_dpdk_and_hw1 intro to_dpdk_and_hw
1 intro to_dpdk_and_hwvideos
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD
 
The ideal and reality of NVDIMM RAS
The ideal and reality of NVDIMM RASThe ideal and reality of NVDIMM RAS
The ideal and reality of NVDIMM RASYasunori Goto
 

Was ist angesagt? (20)

7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance
 
AMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores ArchitectureAMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores Architecture
 
Delivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingDelivering the Future of High-Performance Computing
Delivering the Future of High-Performance Computing
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
 
DPDK in Containers Hands-on Lab
DPDK in Containers Hands-on LabDPDK in Containers Hands-on Lab
DPDK in Containers Hands-on Lab
 
AMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD: Where Gaming Begins
AMD: Where Gaming Begins
 
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based MultiprocessingArm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
 
SR-IOV Introduce
SR-IOV IntroduceSR-IOV Introduce
SR-IOV Introduce
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
 
AMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat Presentation
 
Dpdk applications
Dpdk applicationsDpdk applications
Dpdk applications
 
Receive side scaling (RSS) with eBPF in QEMU and virtio-net
Receive side scaling (RSS) with eBPF in QEMU and virtio-netReceive side scaling (RSS) with eBPF in QEMU and virtio-net
Receive side scaling (RSS) with eBPF in QEMU and virtio-net
 
Shared Memory Centric Computing with CXL & OMI
Shared Memory Centric Computing with CXL & OMIShared Memory Centric Computing with CXL & OMI
Shared Memory Centric Computing with CXL & OMI
 
Computing Performance: On the Horizon (2021)
Computing Performance: On the Horizon (2021)Computing Performance: On the Horizon (2021)
Computing Performance: On the Horizon (2021)
 
NEDIA_SNIA_CXL_講演資料.pdf
NEDIA_SNIA_CXL_講演資料.pdfNEDIA_SNIA_CXL_講演資料.pdf
NEDIA_SNIA_CXL_講演資料.pdf
 
1 intro to_dpdk_and_hw
1 intro to_dpdk_and_hw1 intro to_dpdk_and_hw
1 intro to_dpdk_and_hw
 
Memory model
Memory modelMemory model
Memory model
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
 
The ideal and reality of NVDIMM RAS
The ideal and reality of NVDIMM RASThe ideal and reality of NVDIMM RAS
The ideal and reality of NVDIMM RAS
 
Understanding DPDK
Understanding DPDKUnderstanding DPDK
Understanding DPDK
 

Ähnlich wie Zeppelin SoC: Scalable Multi-Chip Architecture for Server and Desktop

CFD acceleration with FPGA (byteLAKE's presentation from PPAM 2019)
CFD acceleration with FPGA (byteLAKE's presentation from PPAM 2019)CFD acceleration with FPGA (byteLAKE's presentation from PPAM 2019)
CFD acceleration with FPGA (byteLAKE's presentation from PPAM 2019)byteLAKE
 
Theta and the Future of Accelerator Programming
Theta and the Future of Accelerator ProgrammingTheta and the Future of Accelerator Programming
Theta and the Future of Accelerator Programminginside-BigData.com
 
IBM and ASTRON 64-Bit Microserver Prototype Prepares for Big Bang's Big Data,...
IBM and ASTRON 64-Bit Microserver Prototype Prepares for Big Bang's Big Data,...IBM and ASTRON 64-Bit Microserver Prototype Prepares for Big Bang's Big Data,...
IBM and ASTRON 64-Bit Microserver Prototype Prepares for Big Bang's Big Data,...IBM Research
 
Sparc t4 2 system technical overview
Sparc t4 2 system technical overviewSparc t4 2 system technical overview
Sparc t4 2 system technical overviewsolarisyougood
 
Van jaconson netchannels
Van jaconson netchannelsVan jaconson netchannels
Van jaconson netchannelsSusant Sahani
 
PowerEdge Rack and Tower Server Masters AMD Processors.pptx
PowerEdge Rack and Tower Server Masters AMD Processors.pptxPowerEdge Rack and Tower Server Masters AMD Processors.pptx
PowerEdge Rack and Tower Server Masters AMD Processors.pptxNeoKenj
 
IBM and ASTRON 64bit μServer for DOME
IBM and ASTRON 64bit μServer for DOMEIBM and ASTRON 64bit μServer for DOME
IBM and ASTRON 64bit μServer for DOMEIBM Research
 
Basic FPGA Architecture, Virtex CLB IO blocks
Basic FPGA Architecture, Virtex CLB IO blocksBasic FPGA Architecture, Virtex CLB IO blocks
Basic FPGA Architecture, Virtex CLB IO blocksVenkataramanLakshmin1
 
Sparc t3 2 technical presentation
Sparc t3 2 technical presentationSparc t3 2 technical presentation
Sparc t3 2 technical presentationsolarisyougood
 
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- MulticoreLec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- MulticoreHsien-Hsin Sean Lee, Ph.D.
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overviewNabil Chouba
 
Hardware architecture of Summit Supercomputer
 Hardware architecture of Summit Supercomputer Hardware architecture of Summit Supercomputer
Hardware architecture of Summit SupercomputerVigneshwarRamaswamy
 
Exaflop In 2018 Hardware
Exaflop In 2018   HardwareExaflop In 2018   Hardware
Exaflop In 2018 HardwareJacob Wu
 
Introduction to PCB Design (Eagle)
Introduction to PCB Design (Eagle)Introduction to PCB Design (Eagle)
Introduction to PCB Design (Eagle)yeokm1
 
Introduction to DPDK
Introduction to DPDKIntroduction to DPDK
Introduction to DPDKKernel TLV
 
Nodes and Networks for HPC computing
Nodes and Networks for HPC computingNodes and Networks for HPC computing
Nodes and Networks for HPC computingrinnocente
 
Cyclone II FPGA Overview
Cyclone II FPGA OverviewCyclone II FPGA Overview
Cyclone II FPGA OverviewPremier Farnell
 
Microprocessor.ppt
Microprocessor.pptMicroprocessor.ppt
Microprocessor.pptsafia kalwar
 

Ähnlich wie Zeppelin SoC: Scalable Multi-Chip Architecture for Server and Desktop (20)

CFD acceleration with FPGA (byteLAKE's presentation from PPAM 2019)
CFD acceleration with FPGA (byteLAKE's presentation from PPAM 2019)CFD acceleration with FPGA (byteLAKE's presentation from PPAM 2019)
CFD acceleration with FPGA (byteLAKE's presentation from PPAM 2019)
 
Theta and the Future of Accelerator Programming
Theta and the Future of Accelerator ProgrammingTheta and the Future of Accelerator Programming
Theta and the Future of Accelerator Programming
 
IBM and ASTRON 64-Bit Microserver Prototype Prepares for Big Bang's Big Data,...
IBM and ASTRON 64-Bit Microserver Prototype Prepares for Big Bang's Big Data,...IBM and ASTRON 64-Bit Microserver Prototype Prepares for Big Bang's Big Data,...
IBM and ASTRON 64-Bit Microserver Prototype Prepares for Big Bang's Big Data,...
 
Sparc t4 2 system technical overview
Sparc t4 2 system technical overviewSparc t4 2 system technical overview
Sparc t4 2 system technical overview
 
Van jaconson netchannels
Van jaconson netchannelsVan jaconson netchannels
Van jaconson netchannels
 
PowerEdge Rack and Tower Server Masters AMD Processors.pptx
PowerEdge Rack and Tower Server Masters AMD Processors.pptxPowerEdge Rack and Tower Server Masters AMD Processors.pptx
PowerEdge Rack and Tower Server Masters AMD Processors.pptx
 
IBM and ASTRON 64bit μServer for DOME
IBM and ASTRON 64bit μServer for DOMEIBM and ASTRON 64bit μServer for DOME
IBM and ASTRON 64bit μServer for DOME
 
Basic FPGA Architecture, Virtex CLB IO blocks
Basic FPGA Architecture, Virtex CLB IO blocksBasic FPGA Architecture, Virtex CLB IO blocks
Basic FPGA Architecture, Virtex CLB IO blocks
 
Sparc t3 2 technical presentation
Sparc t3 2 technical presentationSparc t3 2 technical presentation
Sparc t3 2 technical presentation
 
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- MulticoreLec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
Hardware architecture of Summit Supercomputer
 Hardware architecture of Summit Supercomputer Hardware architecture of Summit Supercomputer
Hardware architecture of Summit Supercomputer
 
Exaflop In 2018 Hardware
Exaflop In 2018   HardwareExaflop In 2018   Hardware
Exaflop In 2018 Hardware
 
Introduction to PCB Design (Eagle)
Introduction to PCB Design (Eagle)Introduction to PCB Design (Eagle)
Introduction to PCB Design (Eagle)
 
Introduction to DPDK
Introduction to DPDKIntroduction to DPDK
Introduction to DPDK
 
The Cell Processor
The Cell ProcessorThe Cell Processor
The Cell Processor
 
Nodes and Networks for HPC computing
Nodes and Networks for HPC computingNodes and Networks for HPC computing
Nodes and Networks for HPC computing
 
Cyclone II FPGA Overview
Cyclone II FPGA OverviewCyclone II FPGA Overview
Cyclone II FPGA Overview
 
SoC FPGA Technology
SoC FPGA TechnologySoC FPGA Technology
SoC FPGA Technology
 
Microprocessor.ppt
Microprocessor.pptMicroprocessor.ppt
Microprocessor.ppt
 

Mehr von AMD

Heterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D PackagingHeterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D PackagingAMD
 
AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World RecordsAMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD
 
AMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
Race to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityRace to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityAMD
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingAMD
 
Enabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterEnabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterAMD
 
Lessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkLessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkAMD
 

Mehr von AMD (16)

Heterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D PackagingHeterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D Packaging
 
AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World Records
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and Counting
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World Records
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
Race to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityRace to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market Opportunity
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print Imaging
 
Enabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterEnabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the Datacenter
 
Lessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkLessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB Network
 

Kürzlich hochgeladen

Google AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAGGoogle AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAGSujit Pal
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Allon Mureinik
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Alan Dix
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationSafe Software
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Igalia
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Miguel Araújo
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024Results
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...gurkirankumar98700
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhisoniya singh
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...HostedbyConfluent
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking MenDelhi Call girls
 

Kürzlich hochgeladen (20)

Google AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAGGoogle AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAG
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 

Zeppelin SoC: Scalable Multi-Chip Architecture for Server and Desktop

  • 1. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 1 of 29 “Zeppelin”: an SoC for Multi-chip Architectures Noah Beck1, Sean White1, Milam Paraschou2, Samuel Naffziger2 1AMD, Boxborough, 2AMD, Fort Collins Presented at ISSCC 2018
  • 2. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 2 of 29 Outline ▪ Design Goals for the System-on-a-Chip codenamed “Zeppelin” ▪ SoC Architecture ▪ Core Complex codenamed “Zen” ▪ AMD Infinity Fabric (IF) ▪ I/O Capabilities, I/O muxing ▪ Floorplan and Packaging ▪ Results
  • 3. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 3 of 29 “Zeppelin” SoC Goals Design a System-on-a-Chip Solution for scalability across the Server market ▪ 4-die multi-chip module (MCM) for Server in new infrastructure ▪ Same SoC suitable for High-End Desktop – 1-die Desktop in existing AM4 infrastructure – 2-die MCM High-End Desktop in new infrastructure
  • 4. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 4 of 29 “Zeppelin” Die Functional Overview ▪ Compute – 8 “Zen” x86 cores – 4MB total L2 cache – 16 MB total L3 cache ▪ Memory – 2 channel DDR4 with ECC – 2 DIMMs/channel and up to 256GB/channel ▪ Integrated I/O – Coherent and control Infinity Fabric links – 32 lanes high-speed SERDES – 4 USB3.1 Gen1 ports – Server Controller Hub (SPI, LPC, UART, I2C, RTC, SMBus) IFIS/PCIe® IFOP IFOP Zen Zen Zen Zen L3 Zen Zen Zen Zen L3 IFOP IFIS/PCIe/SATAIFOP DDR DDR
  • 5. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 5 of 29 Chip Architecture Infinity Fabric Scalable Data Fabric plane IFIS/PCIe® IFIS/PCIe/SATA IFOP IF SCF SMU CAKE CAKE CAKE PCIe Southbridge IO Complex SATA PCIe CCM CCX 4 cores + L3 CAKE CAKE IFOP CCX 4 cores + L3 CCM IFOP DDRDDRIFOP IOMS CAKE UMC UMC
  • 6. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 6 of 29 CCX: CPU Complex ▪ 4 cores with L1/L2 caches, plus shared L3 cache ▪ “Zen” core described in [Singh ISSCC17] – L1 Instruction Cache 64KB, 4-way associative – L1 Data Cache 32KB, 8-way associative – L2 Cache 512KB, 8-way associative – 2 threads per core ▪ L3 cache 8MB, 16-way associative, shared by all four cores CORE 3 CORE L3M 1MB L 3 C T L L 2 C T L L2M 512K L3M 1MB
  • 7. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 7 of 29 ▪ Fast private L2 cache, 12 cycles ▪ Fast shared L3 cache, 35 cycles ▪ L3 filled from L2 victims of all four cores ▪ L2 tags duplicated in L3 for probe filtering and fast cache transfer ▪ Multiple smart prefetchers ▪ 50 outstanding misses from L2 to L3 per core ▪ 96 outstanding misses from L3 to memory “Zen” Cache hierarchy 32B fetch 32B/ cycle CORE 0 32B/ cycle 2*16B load 8M L3 I+D Cache 16-way 32K D-Cache 8-way 64K I-Cache 4-way 512K L2 I+D Cache 8-way 1*16B store 32B/ cycle 32B/ cycle
  • 8. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 8 of 29 AMD Infinity Fabric: Scalable Data Fabric SDF Transport Layer CAKE IFIS or IFOP to off-chip IOMS IO Complex CCM CCX CCM CCX UMC DDR4 UMC DDR4 I/O Master/Slave Unified Memory Controller Coherent AMD SocKet Extender IF Inter- Socket SerDes IF On-Package SerDes Cache-Coherent Master Core Complex
  • 9. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 9 of 29 SDF Local Memory Access SDF Transport Layer CAKE IFIS or IFOP to off-chip IOMS IO Complex CCM CCX CCM CCX UMC DDR4 UMC DDR4 Latency to local memory: ~90ns * See Endnotes for additional system configuration details
  • 10. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 10 of 29 SDF Die-to-Die Memory Accesses SDF Transport Layer CAKE IFOP CCM CCX CCM CCX UMC DDR4 Latency to other memory within socket: ~145ns CAKEIFIS CAKE SDF Transport Layer Latency to memory attached to other socket (single hop): ~200ns IFOP * See Endnotes for additional system configuration details UMCDDR4 CAKE SDF Transport Layer IFIS Other socket die Same package die
  • 11. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 11 of 29 ▪ Low-swing, single-ended data for ~50% of power of an equivalent differential driver ▪ Zero power driver state during logic 0 transmit – Transmit/receive impedance termination to ground while driver pullup is disabled – Also applied during link idle ▪ Data bit inversion encoding saving 10% average power per bit 2pJ/bit IFOP SerDes
  • 12. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 12 of 29 Hierarchical Power Management ▪ System Management Unit (SMU) uses IF Scalable Control Fabric (SCF) plane ▪ SCF: single-lane IFIS SerDes link for chip-to-chip or socket-to-socket ▪ SMU calculation hierarchy for voltage level control, C-State Boost, thermal management, electrical design current management – Local chip SMU fast loop – Master chip SMU slower loop Die 2 Die 1 Die 3 Die 0 SMU SMU SMU Master SMU To other socket
  • 13. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 13 of 29 IO Subsystem & Muxing ▪ 32 lanes multi-protocol I/O – PCIe, IFIS: two 16-lane links – PCIe link bifurcation: max 8 devices per 16-lane link – SATA: 8 lanes of bottom link ▪ Supports multiple market segments ▪ Muxing support adds <1 channel clock latency to IFIS 16-lane link x16 x16 x8 x8 x4 x4 x4 x4 x2 x2 x2 x2 x2 x2 x2 x2 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x2 x2 x2 x2 x2 x2 x2 x2 x4 x4 x4 x4 16-lane link x8 x8 x16 x16 IFIS PCIe SATA x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 x1 I/O CCX CCX DDR I/O
  • 14. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 14 of 29 Chip Floorplanning for Package ▪ DDR placement on one die edge ▪ Chips in 4-die MCM rotated 180°, DDR facing package left/right edges ▪ Package-top Infinity Fabric pinout requires diagonal placement of IFIS ▪ 4th IFOP enables routing of high- speed I/O in only four package substrate layers I/O CCX CCX DDR I/O
  • 15. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 15 of 29 DDR+IFOP Package Routing ▪ Vertical and Horizontal IFOP: 2 layers each ▪ Diagonal IFOP: 1 layer each ▪ DDR channel: 1 layer each Layer A Layer B I/ODDR Die2 CCX CCX I/O DDR Die1 CCX CCX I/O I/ODDR Die3 CCX CCX I/O DDR Die0 CCX CCX I/O I/OI/O
  • 16. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 16 of 29 DDR+IFIS Package Routing ▪ DDR channel: 1 layer each ▪ IFIS links: 2 layers each Layer C Layer D I/ODDR Die2 CCX CCX I/O DDR Die1 CCX CCX I/O I/ODDR Die3 CCX CCX I/O DDR Die0 CCX CCX I/O I/OI/O
  • 17. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 17 of 29 MCM Versus Single-Chip Design ▪ 4-die MCM package: 852mm2 of silicon (4 * 213mm2) ▪ Large single-chip design: – ~10% area savings: 777mm2 (near reticle size limit) – Manufacturing/test cost: ~40% higher – Full 32-core yield: ~17% lower – Full 32-core cost: ~70% higher ▪ High-yielding multi-chip assembly process – Achievable based on internal production data – Die frequency matching using on-die frequency sensors
  • 18. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 18 of 29 MCM Package Achievements ▪ 4094 total LGA pins ▪ 58mm x 75mm organic substrate ▪ 534 IF high-speed chip-to-chip nets – Over 256GB/s total in-package bandwidth ▪ 1760 high-speed pins – Over 450GB/s total off-package bandwidth
  • 19. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 19 of 29 More MCM Package Achievements ▪ ~300µF of on-package cap ▪ ~300A current ▪ Up to 200W TDP Core supply pins, 180A Uncore supply pins, 65A 1.2Vsupplypins,30A 1.2Vsupplypins,30A
  • 20. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 20 of 29 MCM Core Voltage Variation ▪ Per-core measurements shown – +/-25mV accuracy with max power workload ▪ Per-core ring oscillators – Calibrated for temperature and voltage – Min/max voltage sampled 470M/s ▪ Static differences compensated by per-core LDOs ▪ Dynamic differences mitigated by clock stretcher, DPM states I/ODDR Die 2 I/O DDRDDRDDR +10.8 +10.8 +7.3 +14.4 +8.9 +12.5 -5.0 -20.9 I/ODDR Die 1 I/O I/O I/O DDRDDRDDR +10.5 +10.9 +10.9 -6.5+25.1 +14.1 +7.4 +14.3 I/ODDR Die 3 I/O I/O I/O DDRDDR -9.5 -3.0 -3.0 +3.8 -6.4 -13.1 -16.4 -25.7 I/ODDR Die 0 I/O I/O I/O DDR -7.3 +6.5 -6.6 -20.1-7.3 -7.3 -9.7 -0.2 * See Endnotes for additional system configuration details
  • 21. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 21 of 29 Core Voltage Measurements ▪ Measured data shows excellent tracking of per- core voltage from the digital LDO with mV- accurate target voltage ▪ Power savings through per-core voltage optimization * See Endnotes for additional system configuration details
  • 22. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 22 of 29 4-Chip EPYC Package ▪ 128 lanes can be used as PCIe – Attach six 16-lane accelerator cards to a single socket ▪ 8 DDR4 channels NIC 16 DIMMs Memory 8 Drives Single Socket AMD EPYCTM System 64 lanes High-speed I/O I/O Die2 CCX CCX I/O DDR Die1 CCX CCX I/ODDR I/O Die3 CCX CCX I/O DDR Die0 CCX CCX I/ODDR I/OI/O 64 lanes High-speed I/O 4 Channels DDR4 4 Channels DDR4
  • 23. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 23 of 29 Dual 4-Chip EPYC Packages Dual Socket AMD EPYCTM System 128 lanes High-speed I/O I/O Die2 CCX CCX I/O DDR Die1 CCX CCX I/O DDR Die0 CCX CCX I/O DDR I/OI/O 4 Channels DDR4 4 Channels DDR4 I/O Die2 CCX CCX I/O DDR Die1 CCX CCX I/O DDR Die0 CCX CCX I/O DDR I/OI/O 4 Channels DDR4 4 Channels DDR4 Die3 CCX CCX I/O DDRI/O I/O Die3 CCX CCX I/O DDR
  • 24. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 24 of 29 Single Chip AM4 Package ▪ Socket compatible with other AMD SoCs for desktop market ▪ 8 cores / 16 threads ▪ 2 DDR4 channels ▪ 24 PCIe Gen3 lanes ▪ Up to 95W TDP AMD RyzenTM System Die CCX CCX I/O DDR I/O 24 lanes High-speed I/O 2 Channels DDR4
  • 25. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 25 of 29 2-Chip sTR4 Package ▪ Socket defined for “Zeppelin” SoC and compatible with future designs ▪ 16 cores / 32 threads ▪ 4 DDR4 channels ▪ 64 PCIe Gen3 lanes AMD RyzenTM ThreadripperTM System 32 lanes High-speed I/O I/O Die 1 CCX CCX I/O DDR Die 0 CCX CCX I/O DDR I/O 32 lanes High-speed I/O 2 Channels DDR4 2 Channels DDR4
  • 26. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 26 of 29 Benchmark results ▪ Scalable performance from single-chip up to 8-chip 2-socket configuration * See Endnotes for additional system configuration details
  • 27. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 27 of 29 IFIS/PCIe IFOP IFOP Zen Zen Zen Zen L3 Zen Zen Zen Zen L3 IFOP IFIS/PCIe/SATAIFOP DDR DDR An SoC for Multi-chip Architectures Mainstream Desktop Performance Server High-End Desktop Dummy Dummy
  • 28. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 28 of 29 Acknowledgment ▪ We would like to thank our talented AMD design teams across Austin, Bangalore, Boston, Fort Collins, Hyderabad, Markham, Santa Clara, and Shanghai, who contributed on “Zen” and “Zeppelin” ▪ Please check out our demo tonight
  • 29. 2.4: “Zeppelin”: an SoC for Multi-chip Architectures© 2018 IEEE International Solid-State Circuits Conference 29 of 29 Endnotes AMD, the AMD Arrow logo, and combinations thereof are trademarks of Advanced Micro Devices, Inc. Other product names used in this publication are for identification purposes only and may be trademarks of their respective companies. Slides 9, 10: Latencies assume 2.4GHz CPU core frequency and 1R DDR4-2667 19-19-19 RDIMM; Memory, IFIS, IFOP latencies are dependent on DRAM clock; Memory latencies include testing overhead (including DRAM refresh). Slides 20, 21: Power measurements taken from a SP3 Diesel non-DAP AMD evaluation system, with EPYC rev B1 parts, BIOS revision WDL7405N, Windows Server 2016, running a Max Power pattern at 2.5GHz core frequency Slide 26: AMD RyzenTM 7 1800X CPU scored 211, using estimated scores based on testing performed in AMD Internal Labs as of 30 March 2017. System config: RyzenTM 7 1800X: AMD Myrtle-SM with 95W R7 1800X, 32GB DDR4-2667 RAM, Crucial CT256M550SSD, Ubuntu 15.10, GCC –O2 v4.6 compiler suite. AMD RyzenTM ThreadripperTM 1950X CPU scored 375, using estimated scores based on testing performed in AMD Internal Labs as of 7 September 2017. System config: RyzenTM ThreadripperTM 1950X: AMD Whitehaven-DAP with 180W TR 1950X, 64GB DDR4-2667 RAM, CT256M4SSD disk, Ubuntu 15.10, GCC –O2 v4.6 compiler suite. AMD EPYCTM 7601 CPU scored 702 in a 1-socket using estimated scores based on internal AMD testing as of 6 June 2017. 1 x EPYCTM 7601 CPU in HPE Cloudline CL3150, Ubuntu 16.04, GCC -O2 v6.3 compiler suite, 256 GB (8 x 32 GB 2Rx4 PC4-2666) memory, 1 x 500 GB SSD AMD EPYCTM 7601 scored 1390 in a 2-socket system using estimated scores based on internal AMD testing as of 6 June 2017. 2 x EPYCTM 7601 CPU in Supermicro AS-1123US-TR4, Ubuntu 16.04, GCC -O2 v6.3 compiler suite, 512 GB (16 x 32GB 2Rx4 PC4-2666 running at 2400) memory, 1 x 500 GB SSD.