SlideShare ist ein Scribd-Unternehmen logo
1 von 26
Rahul Agarwal






 Packaging choices
 AMD 3D V-Cache Overview/Technology
 Performance
 Summary
1x 2x 4x 8x 16x 32x
IPC
%
Uplift
(Linear
Scale)
L3 Cache Size
28nm 16nm 10nm 7nm 5nm
Analog
SRAM
Silicon Area Scaling by Function
Logic
* Naffziger, VLSI Short Course, 2020
But SRAM don’t scale as fast as
logics
*
*
* J. Wuu , ISSCC,
2022.
CHIPLET-1
CHIPLET-2
CHIPLET-3
LATENCY OF
CHIPLET-TO-
CHIPLET CHANNELS
(ns)
ENERGY PER UNIT
BIT TRANSFERRED
BETWEEN
CHIPLETS (pJ/bit)
THERMAL DENSITY &
EFFECTIVENESS OF
HEAT EXTRACTION
(Qja: C/W)
COST OF
PACKAGING ($)
EFFICIENT
POWER/GROUND
DISTRIBUTION (Z)
TESTABILITY
B.W. DENSITY OF
CHIPLET-TO-
CHIPLET DATA
COMMUNICATION
(Gb/sec/sq.mm)
RELIABILITY
SUPPORT SPEED
MATCHING OF
CHIPLETS
 Motivation

 AMD 3D V-Cache Overview/Technology
 Performance
 Summary
HYDROPHILIC DIELECTRIC-DIELECTRIC BONDING
+
DIRECT CU-CU BONDING
Die 2
Organic Substrate
Die 1 Die 2
C4 bumps
micro bumps
Die 1 Die 1
Die 1
Die 2
Die 1
EFB
Cu-Cu
Interconnect
s
Based on AMD engineering internal analysis, May 2021 See endnotes.
* R. Swaminathan,” Hot chips Tutorials, HC33,
2021.
• Face to back stacking approach used for seamless inter-portability of bottom die
design.
• Chip on Wafer stacking scheme used to enable different die sizes in the stack.
X3D
CC
CC
CC
CC
CC
CC
CC
CC
X3D
CCD Die
 Packaging without 3D stacking  Packaging with 3D stacking
 Motivation
 Packaging choices

 Performance
 Summary
*L. Su, “High-Performance Computing: Services and Products Essential to our Daily Lives” Computex, 2021.
“Zen 3” x86-64 CPU Core Complex Die (CCD)
• TSMC 7nm technology
• 8 cores per Core Complex (CCX)
• 32MB shared L3 Cache
• 81mm2
• AMD 3D V-Cache support integrated from Day 1
AMD 3D V-Cache extended L3 Die (L3Die)
• TSMC 7nm Technology
• 64MB L3 Cache Extension
• 41mm2
AMD 3D V-Cache Structural Dies
• Structural support for thinned CCD
• Thermal dissipation for CPU cores
Top
Die
Bottom
Die
M11
M12 M12
M11 M11
M12
Via
M13
M13 M13
Al
Al
T
S
V
Silicon
BPM
Die
Interface
• TSMC SoIC process
• Cu-Cu Hybrid bonding using Bond Pad Metal (BPM)
• TSV pitch = Hybrid bond pitch
• Bond Pad Via (BPV) connects BPM to M13
• Die to Wafer bonding process
• Face to back integration scheme
• 9um minimum TSV pitch
• MCM Package with C4 bump attach to substrate
X3D
 Cross-section showing HB interface after 1000hrs of HTOL
Cu-Cu inter-diffused interface is ultra robust
Successfully passed various JEDEC specific package level reliability tests
 Motivation
 Packaging choices
 AMD 3D V-Cache Overview/Technology

 Summary
 AMD 3D V-Cache™ supports L3 Cache extension for both server and desktop product families
AMD 3rd Gen EPYC™
Server CPU
AMD RYZEN™ 7 5800X3D Gaming
CPU
~15% faster gaming at 1080p high
UP TO
1.36X UP TO
1.24X
UP TO
1.21X
UP TO
1.16X
UP TO
1.09X TIE
AMD RYZENTM 9
5900X
AMD RYZENTM 7
5800X3D WITH AMD 3D V-CACHE™
AMD RYZEN™ 7 5800X3D WITH AMD 3D V-CACHE™
SEE ENDNOTES: R5K-106
Watch Dogs®… Far Cry® 6 Gears 5TM
Final FantasyTM XIV Shadow of the… CS:GOTM
World’s fastest gaming processor
UP TO
1.17X UP TO
1.08X
UP TO
1.06X
UP TO
1.01X
UP TO
0.98X
UP TO
TIE
CORE i9
12900K
AMD RYZENTM 7
5800X3D WITH AMD 3D V-CACHE™
AMD RYZEN™ 7 5800X3D WITH AMD 3D V-CACHE™
SEE ENDNOTES: R5K-107
Watch Dogs®…
Far Cry® 6 Gears 5TM
Final FantasyTM XIV Shadow of the… CS:GOTM
3RD GEN AMD EPYC™ 16-CORE
WITH AMD 3D V-CACHE™
JOBS/HOUR
40.6
JOBS/HOUR
24.4
3RD GEN AMD EPYC™ 16-CORE
WITHOUT AMD 3D V-CACHE™
~66%
FASTER RTL
VERIFICATION
SYNOPSYS® VCS®
RESULTS MAY VARY. SEE ENDNOTES: MLNX-001R
 Motivation
 Packaging choices
 AMD 3D V-Cache Overview/Technology
 Performance





Heterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D Packaging

Weitere ähnliche Inhalte

Was ist angesagt?

AMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores ArchitectureAMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores ArchitectureLow Hong Chuan
 
AMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor ArchitectureAMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor ArchitectureAMD
 
7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance AMD
 
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28AMD
 
The Path to "Zen 2"
The Path to "Zen 2"The Path to "Zen 2"
The Path to "Zen 2"AMD
 
Delivering a new level of visual performance in an SoC AMD "Raven Ridge" APU
Delivering a new level of visual performance in an SoC AMD "Raven Ridge" APUDelivering a new level of visual performance in an SoC AMD "Raven Ridge" APU
Delivering a new level of visual performance in an SoC AMD "Raven Ridge" APUAMD
 
Supermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the FutureSupermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the FutureRebekah Rodriguez
 
AMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD
 
01 nand flash_reliability_notes
01 nand flash_reliability_notes01 nand flash_reliability_notes
01 nand flash_reliability_notesswethamg18
 
Advanced Micro Devices - AMD
Advanced Micro Devices - AMDAdvanced Micro Devices - AMD
Advanced Micro Devices - AMDVedavyas Sheoy
 
AMD Processor
AMD ProcessorAMD Processor
AMD ProcessorAli Fahad
 
AMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD
 
Modular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU ServerModular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU ServerRebekah Rodriguez
 
Slideshare - PCIe
Slideshare - PCIeSlideshare - PCIe
Slideshare - PCIeJin Wu
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)Shivam Gupta
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderAnsys
 
NVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUNVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUsystem_plus
 

Was ist angesagt? (20)

AMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores ArchitectureAMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores Architecture
 
AMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor ArchitectureAMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor Architecture
 
7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance
 
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
 
The Path to "Zen 2"
The Path to "Zen 2"The Path to "Zen 2"
The Path to "Zen 2"
 
Delivering a new level of visual performance in an SoC AMD "Raven Ridge" APU
Delivering a new level of visual performance in an SoC AMD "Raven Ridge" APUDelivering a new level of visual performance in an SoC AMD "Raven Ridge" APU
Delivering a new level of visual performance in an SoC AMD "Raven Ridge" APU
 
Supermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the FutureSupermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the Future
 
AMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD: Where Gaming Begins
AMD: Where Gaming Begins
 
01 nand flash_reliability_notes
01 nand flash_reliability_notes01 nand flash_reliability_notes
01 nand flash_reliability_notes
 
Advanced Micro Devices - AMD
Advanced Micro Devices - AMDAdvanced Micro Devices - AMD
Advanced Micro Devices - AMD
 
AMD Processor
AMD ProcessorAMD Processor
AMD Processor
 
Amd processor
Amd processorAmd processor
Amd processor
 
NVMe over Fabric
NVMe over FabricNVMe over Fabric
NVMe over Fabric
 
AMD Processor
AMD ProcessorAMD Processor
AMD Processor
 
AMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat Presentation
 
Modular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU ServerModular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU Server
 
Slideshare - PCIe
Slideshare - PCIeSlideshare - PCIe
Slideshare - PCIe
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
 
NVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUNVIDIA A100 ampere GPU
NVIDIA A100 ampere GPU
 

Ähnlich wie Heterogeneous Integration with 3D Packaging

Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overviewNabil Chouba
 
Design and implementation of DADCT
Design and implementation of DADCTDesign and implementation of DADCT
Design and implementation of DADCTSatish Kumar
 
11 Synchoricity as the basis for going Beyond Moore
11 Synchoricity as the basis for going Beyond Moore11 Synchoricity as the basis for going Beyond Moore
11 Synchoricity as the basis for going Beyond MooreRCCSRENKEI
 
A Prototype Storage Subsystem based on Phase Change Memory
A Prototype Storage Subsystem based on Phase Change MemoryA Prototype Storage Subsystem based on Phase Change Memory
A Prototype Storage Subsystem based on Phase Change MemoryIBM Research
 
Seagate – Next Level Storage (Webinar mit Boston Server & Storage, 2018 09-28)
Seagate – Next Level Storage (Webinar mit Boston Server & Storage,  2018 09-28)Seagate – Next Level Storage (Webinar mit Boston Server & Storage,  2018 09-28)
Seagate – Next Level Storage (Webinar mit Boston Server & Storage, 2018 09-28)BOSTON Server & Storage Solutions GmbH
 
Performance analysis of 3D Finite Difference computational stencils on Seamic...
Performance analysis of 3D Finite Difference computational stencils on Seamic...Performance analysis of 3D Finite Difference computational stencils on Seamic...
Performance analysis of 3D Finite Difference computational stencils on Seamic...Joshua Mora
 
STT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence ApplicationsSTT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence ApplicationsDanny Sabour
 
Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2
Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2
Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2zOSCommserver
 
Jetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous MachinesJetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous MachinesDustin Franklin
 
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...Nansen Chen
 
Design of 3D Specific Systems: Prospective and Interface Requirements
Design of 3D Specific Systems: Prospective and Interface RequirementsDesign of 3D Specific Systems: Prospective and Interface Requirements
Design of 3D Specific Systems: Prospective and Interface Requirementschiportal
 
Ceph Day New York 2014: Ceph, a physical perspective
Ceph Day New York 2014: Ceph, a physical perspective Ceph Day New York 2014: Ceph, a physical perspective
Ceph Day New York 2014: Ceph, a physical perspective Ceph Community
 
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsThe Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsRebekah Rodriguez
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsAnand Haridass
 
LinuxCon2009: 10Gbit/s Bi-Directional Routing on standard hardware running Linux
LinuxCon2009: 10Gbit/s Bi-Directional Routing on standard hardware running LinuxLinuxCon2009: 10Gbit/s Bi-Directional Routing on standard hardware running Linux
LinuxCon2009: 10Gbit/s Bi-Directional Routing on standard hardware running Linuxbrouer
 
Blue line Supermicro Server Building Block Solutions
Blue line Supermicro Server Building Block SolutionsBlue line Supermicro Server Building Block Solutions
Blue line Supermicro Server Building Block SolutionsBlue Line
 
Steen_Dissertation_March5
Steen_Dissertation_March5Steen_Dissertation_March5
Steen_Dissertation_March5Steen Larsen
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Arvind Kumar
 

Ähnlich wie Heterogeneous Integration with 3D Packaging (20)

Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
26_Fan.pdf
26_Fan.pdf26_Fan.pdf
26_Fan.pdf
 
Design and implementation of DADCT
Design and implementation of DADCTDesign and implementation of DADCT
Design and implementation of DADCT
 
11 Synchoricity as the basis for going Beyond Moore
11 Synchoricity as the basis for going Beyond Moore11 Synchoricity as the basis for going Beyond Moore
11 Synchoricity as the basis for going Beyond Moore
 
A Prototype Storage Subsystem based on Phase Change Memory
A Prototype Storage Subsystem based on Phase Change MemoryA Prototype Storage Subsystem based on Phase Change Memory
A Prototype Storage Subsystem based on Phase Change Memory
 
Welcome to the Datasphere – the next level of storage
Welcome to the Datasphere – the next level of storageWelcome to the Datasphere – the next level of storage
Welcome to the Datasphere – the next level of storage
 
Seagate – Next Level Storage (Webinar mit Boston Server & Storage, 2018 09-28)
Seagate – Next Level Storage (Webinar mit Boston Server & Storage,  2018 09-28)Seagate – Next Level Storage (Webinar mit Boston Server & Storage,  2018 09-28)
Seagate – Next Level Storage (Webinar mit Boston Server & Storage, 2018 09-28)
 
Performance analysis of 3D Finite Difference computational stencils on Seamic...
Performance analysis of 3D Finite Difference computational stencils on Seamic...Performance analysis of 3D Finite Difference computational stencils on Seamic...
Performance analysis of 3D Finite Difference computational stencils on Seamic...
 
STT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence ApplicationsSTT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence Applications
 
Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2
Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2
Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2
 
Jetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous MachinesJetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous Machines
 
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
 
Design of 3D Specific Systems: Prospective and Interface Requirements
Design of 3D Specific Systems: Prospective and Interface RequirementsDesign of 3D Specific Systems: Prospective and Interface Requirements
Design of 3D Specific Systems: Prospective and Interface Requirements
 
Ceph Day New York 2014: Ceph, a physical perspective
Ceph Day New York 2014: Ceph, a physical perspective Ceph Day New York 2014: Ceph, a physical perspective
Ceph Day New York 2014: Ceph, a physical perspective
 
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsThe Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of Systems
 
LinuxCon2009: 10Gbit/s Bi-Directional Routing on standard hardware running Linux
LinuxCon2009: 10Gbit/s Bi-Directional Routing on standard hardware running LinuxLinuxCon2009: 10Gbit/s Bi-Directional Routing on standard hardware running Linux
LinuxCon2009: 10Gbit/s Bi-Directional Routing on standard hardware running Linux
 
Blue line Supermicro Server Building Block Solutions
Blue line Supermicro Server Building Block SolutionsBlue line Supermicro Server Building Block Solutions
Blue line Supermicro Server Building Block Solutions
 
Steen_Dissertation_March5
Steen_Dissertation_March5Steen_Dissertation_March5
Steen_Dissertation_March5
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
 

Mehr von AMD

AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World RecordsAMD
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUAMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD
 
AMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
Race to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityRace to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityAMD
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingAMD
 
Enabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterEnabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterAMD
 
Lessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkLessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkAMD
 

Mehr von AMD (16)

AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World Records
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and Counting
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World Records
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
Race to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityRace to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market Opportunity
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print Imaging
 
Enabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterEnabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the Datacenter
 
Lessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkLessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB Network
 

Kürzlich hochgeladen

🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘RTylerCroy
 
Top 10 Most Downloaded Games on Play Store in 2024
Top 10 Most Downloaded Games on Play Store in 2024Top 10 Most Downloaded Games on Play Store in 2024
Top 10 Most Downloaded Games on Play Store in 2024SynarionITSolutions
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Drew Madelung
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsJoaquim Jorge
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?Igalia
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobeapidays
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...Martijn de Jong
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...DianaGray10
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyKhushali Kathiriya
 
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsTop 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsRoshan Dwivedi
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAndrey Devyatkin
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProduct Anonymous
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...apidays
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 

Kürzlich hochgeladen (20)

🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Top 10 Most Downloaded Games on Play Store in 2024
Top 10 Most Downloaded Games on Play Store in 2024Top 10 Most Downloaded Games on Play Store in 2024
Top 10 Most Downloaded Games on Play Store in 2024
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsTop 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 

Heterogeneous Integration with 3D Packaging

  • 3.   Packaging choices  AMD 3D V-Cache Overview/Technology  Performance  Summary
  • 4. 1x 2x 4x 8x 16x 32x IPC % Uplift (Linear Scale) L3 Cache Size 28nm 16nm 10nm 7nm 5nm Analog SRAM Silicon Area Scaling by Function Logic * Naffziger, VLSI Short Course, 2020 But SRAM don’t scale as fast as logics * * * J. Wuu , ISSCC, 2022.
  • 5. CHIPLET-1 CHIPLET-2 CHIPLET-3 LATENCY OF CHIPLET-TO- CHIPLET CHANNELS (ns) ENERGY PER UNIT BIT TRANSFERRED BETWEEN CHIPLETS (pJ/bit) THERMAL DENSITY & EFFECTIVENESS OF HEAT EXTRACTION (Qja: C/W) COST OF PACKAGING ($) EFFICIENT POWER/GROUND DISTRIBUTION (Z) TESTABILITY B.W. DENSITY OF CHIPLET-TO- CHIPLET DATA COMMUNICATION (Gb/sec/sq.mm) RELIABILITY SUPPORT SPEED MATCHING OF CHIPLETS
  • 6.  Motivation   AMD 3D V-Cache Overview/Technology  Performance  Summary
  • 8. Die 2 Organic Substrate Die 1 Die 2 C4 bumps micro bumps Die 1 Die 1 Die 1 Die 2 Die 1 EFB Cu-Cu Interconnect s
  • 9. Based on AMD engineering internal analysis, May 2021 See endnotes. * R. Swaminathan,” Hot chips Tutorials, HC33, 2021.
  • 10. • Face to back stacking approach used for seamless inter-portability of bottom die design. • Chip on Wafer stacking scheme used to enable different die sizes in the stack. X3D CC CC CC CC CC CC CC CC X3D CCD Die  Packaging without 3D stacking  Packaging with 3D stacking
  • 11.  Motivation  Packaging choices   Performance  Summary
  • 12. *L. Su, “High-Performance Computing: Services and Products Essential to our Daily Lives” Computex, 2021.
  • 13. “Zen 3” x86-64 CPU Core Complex Die (CCD) • TSMC 7nm technology • 8 cores per Core Complex (CCX) • 32MB shared L3 Cache • 81mm2 • AMD 3D V-Cache support integrated from Day 1 AMD 3D V-Cache extended L3 Die (L3Die) • TSMC 7nm Technology • 64MB L3 Cache Extension • 41mm2 AMD 3D V-Cache Structural Dies • Structural support for thinned CCD • Thermal dissipation for CPU cores
  • 14. Top Die Bottom Die M11 M12 M12 M11 M11 M12 Via M13 M13 M13 Al Al T S V Silicon BPM Die Interface • TSMC SoIC process • Cu-Cu Hybrid bonding using Bond Pad Metal (BPM) • TSV pitch = Hybrid bond pitch • Bond Pad Via (BPV) connects BPM to M13 • Die to Wafer bonding process • Face to back integration scheme • 9um minimum TSV pitch • MCM Package with C4 bump attach to substrate X3D
  • 15.  Cross-section showing HB interface after 1000hrs of HTOL Cu-Cu inter-diffused interface is ultra robust Successfully passed various JEDEC specific package level reliability tests
  • 16.  Motivation  Packaging choices  AMD 3D V-Cache Overview/Technology   Summary
  • 17.  AMD 3D V-Cache™ supports L3 Cache extension for both server and desktop product families AMD 3rd Gen EPYC™ Server CPU AMD RYZEN™ 7 5800X3D Gaming CPU
  • 18. ~15% faster gaming at 1080p high UP TO 1.36X UP TO 1.24X UP TO 1.21X UP TO 1.16X UP TO 1.09X TIE AMD RYZENTM 9 5900X AMD RYZENTM 7 5800X3D WITH AMD 3D V-CACHE™ AMD RYZEN™ 7 5800X3D WITH AMD 3D V-CACHE™ SEE ENDNOTES: R5K-106 Watch Dogs®… Far Cry® 6 Gears 5TM Final FantasyTM XIV Shadow of the… CS:GOTM
  • 19. World’s fastest gaming processor UP TO 1.17X UP TO 1.08X UP TO 1.06X UP TO 1.01X UP TO 0.98X UP TO TIE CORE i9 12900K AMD RYZENTM 7 5800X3D WITH AMD 3D V-CACHE™ AMD RYZEN™ 7 5800X3D WITH AMD 3D V-CACHE™ SEE ENDNOTES: R5K-107 Watch Dogs®… Far Cry® 6 Gears 5TM Final FantasyTM XIV Shadow of the… CS:GOTM
  • 20. 3RD GEN AMD EPYC™ 16-CORE WITH AMD 3D V-CACHE™ JOBS/HOUR 40.6 JOBS/HOUR 24.4 3RD GEN AMD EPYC™ 16-CORE WITHOUT AMD 3D V-CACHE™ ~66% FASTER RTL VERIFICATION SYNOPSYS® VCS® RESULTS MAY VARY. SEE ENDNOTES: MLNX-001R
  • 21.  Motivation  Packaging choices  AMD 3D V-Cache Overview/Technology  Performance 

Hinweis der Redaktion

  1. It is generally well known that larger L3 cache significantly improves IPC (Instructions per cycle) for a given device. As as chart from John Wuu shows this relationship between IPC uplift and L3 cache is pretty Linear. However, L3 cache or SRAMs they don’t scale as fast as logics with infliction point accruing at 10nm node. To get larger on die SRAMs/L3 caches, SOC die size has to grow significantly. So the question was how to achieve this IPC uplift in our devices without increasing SOC footprint. We started looking at various packging platforms which can be used to put an L3 cache die on top of existing die and provide the right PPAC (power, performance, area and cost) advantage.
  2. When we started looking at packaging platforms to get larger L3 cache without significant increase in SOC die size, there were various figure of merits which were considered. These are generally true with any heterogeneous integration concepts. Things like thermal conductivity/heat extraction, energy efficiency, Low latency, B/W, PDN, speed matching, KGD/testability, cost and reliability were looked at for various packaging platforms we have available to us.
  3. So the platform we choose was 3d fabric technology from TSMC This platform enables a novel process called hybrid bonding, and Extended L3 V-cache is enabled and developed in deep partnership with TSMC, on this platform. Hybrid bonding is fundamentally a 2-phase bonding approach, where the initial bond is created between dielectric layers via Vanderwaal forces. At this stage Cu-Cu contact is not made. Actual interconnects are made at the 2nd stage when the assembly is anneled causing solid state diffusion and forming Cu-Cu bonds.
  4. This chart show the interconnect pitch differences between 2D/C4 architectures (at 130u bump pitch) 2.5D micro-bump architectures (at 50u bump pitch)  3D TSV based hybrid bond interconnects (at 9u bump pitch) Due to this higher packing density using TSVs and hybrid bonding, we can achieve 3x improved in interconnect energy efficiency relative to micro-bump architectures as well, providing the best PPAC benefits to enable highest performance computing products.
  5. Now, we compare AMD’s 3D V-Cache technology with the current best in class micro-bump 3D architecture. Solder based Micro-bump technology with tall TSVs is based on traditional solder-based packaging technologies and can scale from 50u to 36u (maybe a bit lower) and is Ok for specific applications. AMD’s 3D chiplet architecture, by contrast, uses Silicon Fab like manufacturing methods with back-end design rule based TSVs with Cu only interconnects without the presence of Solder. This is a transformational point in the industry’s advanced packaging journey, where interconnect technologies are now being enabled using Silicon Fab based techniques. As a result of the extreme scaling, we are able to achieve >3x higher interconnect energy efficiency, >16x higher interconnect density as well as better signal and power performance compared to micro bump 3D architectures. 
  6. Now, we know HB can enable better energy efficient interconnects, offer higher B/W and other performance advantages, To actually enable it in our product, one of the key bounding box for this development was to enable a technology with existing designs and act as a drop-in solution. This interportability between products was critical for the success of this technology. We were able to achieve this critical milestone by enabling F2B die stacking scheme. Only difference between a stacked die product and a non-stacked die product is the presence of TSVs and stacked dies. We also enabled CoW stacking scheme to get all the advantages of KGDs and have flexibility on die sizes.
  7. The 3D chiplet architecture has been carefully engineered to enable the highest bandwidth at the lowest silicon area using the direct Cu to Cu hybrid bonding technology + TSVs for the die-to-die communication. The architecture and silicon floor plan was also carefully engineered to enable optimized thermal performance. Thermally aware floorplanning of the product enabled us to put 3D 64MB SRAM over the SRAM cells of the CCD, to keep thermal density low (over just L3 on CCD, and avoided overlapping on the CCD) We enabled structural silicon to support thin dies and also allow for heat escape from the higher density cores or CCDs, illustrating how 3D can be done in a thermally friendly manner
  8. This slide shows details of various components which form part of 3D V-Cache platform 1st demonstration of this technology is done on Zen 3 x86-64 CPU CCD. CCDs are fabricated using TSMC’s 7nm platform and as I said earlier 3D V-cache support was integrated from Day 1 of Zen 3 architecture. Cache die is also fabricated on TSMC’s n7 node giving us a extra 64MB cache extension
  9. As mentioned earlier, this is enabled using Foundry BEOL based design rules, enabling THE best in class interconnect densities and PPAC over any other commercially existing platform.