SlideShare ist ein Scribd-Unternehmen logo
1 von 4
Downloaden Sie, um offline zu lesen
Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.283-286
283 | P a g e
FPGA Implementation of cache memory
Yogesh S. Watile *
, A. S. Khobragade**
*
(Student M.Tech, Deptt of Electronics (VLSI), PCE, Priyadarshini College of Engineering ,Nagpur , India)
**
(Professor, Deptt of Electronics (VLSI), Priyadarshini College of Engineering ,Nagpur , India)
Abstract
We describe cache memory design suitable for
use in FPGA-based cache controller and
processor. Cache systems are on-chip memory
element used to store data. Cache serves as a
buffer between a CPU and its main memory.
Cache memory is used to synchronize the data
transfer rate between CPU and main memory.
As cache memory closer to the micro processor,
it is faster than the RAM and main memory.The
advantage of storing data on cache, as compared
to RAM, is that it has faster retrieval times, but it
has disadvantage of on-chip energy consumption.
In term of detecting miss rate in cache memory
and less power consumption, The efficient cache
memory will proposed by this research work, by
implementation of cache memory on FPGA. We
believe that our implementation achieves low
complexity and low energy consumption in terms
of FPGA resource usage.
Keywords- cache Tag memory, cache controller,
counter, cache Tag comparator.
I. INTRODUCTION
Field-programmable gate arrays (FPGAs)
have recently been garnering attention for their
successful use in computing applications. Indeed,
recent work has shown that implementing
computations using FPGA hardware has the
potential to bring orders of magnitude improvement
in energy-efficiency and throughput vs. realizing
computations in software running on a conventional
processor. While a variety of different memory
architectures are possible in processor/accelerator
systems, a commonly-used approach is one where
data shared between the processor and accelerators
resides in a shared memory hierarchy comprised of
a cache and main memory. The advantage of such a
model is its simplicity, as cache coherency
mechanisms are not required despite this potential
limitation; we use the shared memory model as the
basis of our initial investigation, with our results
being applicable (in future) multi-cache
scenarios[1]. In our work, data shared among the
processor and parallel accelerators is to accessed
through a shared L1 cache, implemented using on-
FPGA memory.
Cache systems are on-chip memory
element used to store data. A cache controller is
used for tracking induced miss rate in cache
memory. Any data requested by microprocessor is
present in cache memory then the term is called
„cache hit‟. The advantage of storing data on cache,
as compared to RAM, is that it has faster retrieval
times, but it has disadvantage of on-chip energy
consumption. This paper deals with the design of
efficient cache memory for detecting miss rate in
cache memory and less power consumption. This
cache memory may used in future work to design
FPGA based cache controller.
II.SYSTEM ARCHITECTURE
OVERVIEW
Cache controller that communicates between
microprocessor and cache memory to carry out
memory related operations. The functionality of the
design is explained below.
Fig 1 - system block diagram
Cache controller receive address that
microprocessor wants to access Cache controller
looks for the address in L1 cache. If address present
in L1 cache the data from location is provided to
microprocessor via data bus. If the address not
found in L1 cache then cache miss will occur. Cache
controller then looks same address in cache L2. If
address present in L2 cache the data from location is
provided to microprocessor. The same data will
replace in cache L1. If the address not found in L2
cache then cache miss will occur.
In our paper work we design single cache
memory for detecting miss rate in cache memory
and less power consumption. This work will be used
in design of FPGA based 2-way, 4-way, 8-wayset
associative cache memory and used in cache
controller for tracking induced miss rate.
Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.283-286
284 | P a g e
III. DESIGNED WORK
In our designed work, we designed cache
memory L1 using FPGA. In future with reference to
designed work we can design set associative cache
memory as set associative cache strike a good
balance between lower miss rate and higher cost[5].
Cache memory consist Cache tag memory, cache
data memory, cache tag comparator and counter.
Our paper work deal with detection of cache misses.
We designed cache tag memory, cache tag
comparator and 10-bit counter and need not to
design cache data memory for detecting cache
misses as address is stored in tag memory and data
is stored data memory. Address Requested by
microprocessor is compared with address of data in
main memory those are stored in tag memory.
The cache tag memory stored address of data in
main memory. Cache tag memory is modelled using
behavioural style of modelling in VHDL (for
example: 22-bit cache tag memory).
Figure 2: cache tag memory
Figure 2 shows the diagram of cache tag memory of
22 bit. When „we‟=1 it performs writing operation
and „we‟=0 it performs reading operation. Data
stored in the tag memory will be a addresses of main
memory. The 10-bit counter used to generate 10 bit
address for writing and reading operation of cache
tag memory. 10-bit counter is modelled using
behavioural style of modelling in VHDL.
.
Figure 3: 10-bit Counter
Figure 3 shows the diagram of 10-bit counter. For
each clock pulse counter is incremented by one. 10-
bit counter provides 10-bit addresses to cache tag
memory during writing and reading operation. The
22-bit cache tag comparator Cache tag comparator is
used to compare address requested by
microprocessor and address stored in tag memory.
22-bit cache tag comparator is modelled using
behavioural style of modelling in VHDL.
Figure 4: 22-bit cache tag comparator
Figure 4 shows the diagram of 22-bit cache tag
comparator .22-bit Cache tag comparator is compare
22-bit address requested by microprocessor and 22-
bit address stored in tag memory. Address requested
by microprocessor is 32-bit out which 22-bit address
is used to compare with tag address.8-bit address is
used as a set address and 2-bit address is used as a
offset word address. To design set associative cache
memory, we have to make number of sets of such
cache memory which will be our future work.
Figure 5: physical memory address
The implementation of cache memory requires
cache tag memory, 10-bit counter and cache tag
comparator as shown in figure 6
IV: SIMULATION RESULTS
Figure 7 shows the simulation results of
cache memory. Cache memory is written in a
VHDL. modelsim platform is used for simulation.
To achieve the synthesis of cache memory, Xilinx
platform is used. as shown in simulation results it is
found that if address requested by microprocessor is
matched with the address stored in cache tag
memory then the cache hit will occurs, if not then
cache miss will occurs.
Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.283-286
285 | P a g e
Figure 6: cache memory
Figure 7: simulation results
VII. CONCLUSION
In this paper, we have presented design of
cache memory on FPGA for detecting cache miss.
Such an approach would be of great utility to many
modern embedded applications, for which both
high-performance and low-power are of great
importance. This cache memory may used in future
work to design FPGA based set associative cache
memory and cache controller for tracking the
induced miss rate in cache memory.
REFERENCES
[1] Jongsok Choi, Kevin Nam, Andrew Canis,
Jason Anderson, Stephen Brown, and
Tomasz Czajkowski, “Impact of Cache
Architecture and Interface on Performance
and Area of FPGA-Based
Processor/Parallel-Accelerator Systems”
ECE Department, University of Toronto,
Toronto, ON, Canada ,Altera Toronto
Technology Centre, Toronto, ON, Canada
Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.283-286
286 | P a g e
[2] Maciej kurek, ioannis ilkos, wayne luk,
“Customizable security-aware cache for
fpga-based soft processors”, Department
of computing, imperial college London
[3] Nawaf Almoosa, Yorai Wardi, and
Sudhakar Yalamanchili, Controller Design
for Tracking Induced Miss-Rates in Cache
Memories, 2010 8th IEEE International
Conference on Control and Automation
Xiamen, China, June 9-11, 2010
[4] Computer architecture and organisation :
John .p. Hayes(Mc Graw hill publication)
[5] Computer architecture: Forth Edition, John
L. hennessy and David A. Patterson.
[6] Vipin S. Bhure , Praveen R. Chakole,
“Design of Cache Controller for Multi-core
Processor System” international Journal of
Electronics and Computer Science
Engineering, ISSN: 2277-1956

Weitere ähnliche Inhalte

Andere mochten auch

Bloque cierre MPI012012_Indira
Bloque cierre MPI012012_IndiraBloque cierre MPI012012_Indira
Bloque cierre MPI012012_Indiraindiring
 
Participação dos operários chilenos no governo de Salvador Allende
Participação dos operários chilenos no governo de Salvador AllendeParticipação dos operários chilenos no governo de Salvador Allende
Participação dos operários chilenos no governo de Salvador AllendeFernanda Eduardo Mattos
 
Lotto Miljonairs Cursus 2014
Lotto Miljonairs Cursus 2014Lotto Miljonairs Cursus 2014
Lotto Miljonairs Cursus 2014Koen Hoefgeest
 
Reuters: Pictures of the Year 2016 (Part 2)
Reuters: Pictures of the Year 2016 (Part 2)Reuters: Pictures of the Year 2016 (Part 2)
Reuters: Pictures of the Year 2016 (Part 2)maditabalnco
 
The Six Highest Performing B2B Blog Post Formats
The Six Highest Performing B2B Blog Post FormatsThe Six Highest Performing B2B Blog Post Formats
The Six Highest Performing B2B Blog Post FormatsBarry Feldman
 
The Outcome Economy
The Outcome EconomyThe Outcome Economy
The Outcome EconomyHelge Tennø
 

Andere mochten auch (8)

redes sociales.pptx
redes sociales.pptxredes sociales.pptx
redes sociales.pptx
 
Bloque cierre MPI012012_Indira
Bloque cierre MPI012012_IndiraBloque cierre MPI012012_Indira
Bloque cierre MPI012012_Indira
 
Participação dos operários chilenos no governo de Salvador Allende
Participação dos operários chilenos no governo de Salvador AllendeParticipação dos operários chilenos no governo de Salvador Allende
Participação dos operários chilenos no governo de Salvador Allende
 
Polo PE
Polo PEPolo PE
Polo PE
 
Lotto Miljonairs Cursus 2014
Lotto Miljonairs Cursus 2014Lotto Miljonairs Cursus 2014
Lotto Miljonairs Cursus 2014
 
Reuters: Pictures of the Year 2016 (Part 2)
Reuters: Pictures of the Year 2016 (Part 2)Reuters: Pictures of the Year 2016 (Part 2)
Reuters: Pictures of the Year 2016 (Part 2)
 
The Six Highest Performing B2B Blog Post Formats
The Six Highest Performing B2B Blog Post FormatsThe Six Highest Performing B2B Blog Post Formats
The Six Highest Performing B2B Blog Post Formats
 
The Outcome Economy
The Outcome EconomyThe Outcome Economy
The Outcome Economy
 

Ähnlich wie Aw33283286

Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...ijesajournal
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...ijesajournal
 
E24099025李宇洋_專題報告.pdf
E24099025李宇洋_專題報告.pdfE24099025李宇洋_專題報告.pdf
E24099025李宇洋_專題報告.pdfKerzPAry137
 
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESREDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESijcsit
 
Reducing Competitive Cache Misses in Modern Processor Architectures
Reducing Competitive Cache Misses in Modern Processor ArchitecturesReducing Competitive Cache Misses in Modern Processor Architectures
Reducing Competitive Cache Misses in Modern Processor ArchitecturesAIRCC Publishing Corporation
 
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESREDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESijcsit
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...IJIR JOURNALS IJIRUSA
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingeSAT Journals
 
IRJET- Implementation of Mesi Protocol using Verilog
IRJET- Implementation of Mesi Protocol using VerilogIRJET- Implementation of Mesi Protocol using Verilog
IRJET- Implementation of Mesi Protocol using VerilogIRJET Journal
 
A Case for Kernels
A Case for KernelsA Case for Kernels
A Case for Kernelsijtsrd
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...AIRCC Publishing Corporation
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...AIRCC Publishing Corporation
 
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECKA SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECKAIRCC Publishing Corporation
 
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...ijcsit
 
Ca31317323
Ca31317323Ca31317323
Ca31317323IJMER
 
Coarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architectureCoarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architectureDhiraj Chaudhary
 
Coarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c routerCoarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c routerDhiraj Chaudhary
 
Coarse grained hybrid reconfigurable architecture with noc router for variabl...
Coarse grained hybrid reconfigurable architecture with noc router for variabl...Coarse grained hybrid reconfigurable architecture with noc router for variabl...
Coarse grained hybrid reconfigurable architecture with noc router for variabl...Dhiraj Chaudhary
 

Ähnlich wie Aw33283286 (20)

Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
 
E24099025李宇洋_專題報告.pdf
E24099025李宇洋_專題報告.pdfE24099025李宇洋_專題報告.pdf
E24099025李宇洋_專題報告.pdf
 
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESREDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
 
Reducing Competitive Cache Misses in Modern Processor Architectures
Reducing Competitive Cache Misses in Modern Processor ArchitecturesReducing Competitive Cache Misses in Modern Processor Architectures
Reducing Competitive Cache Misses in Modern Processor Architectures
 
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESREDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passing
 
IRJET- Implementation of Mesi Protocol using Verilog
IRJET- Implementation of Mesi Protocol using VerilogIRJET- Implementation of Mesi Protocol using Verilog
IRJET- Implementation of Mesi Protocol using Verilog
 
A Case for Kernels
A Case for KernelsA Case for Kernels
A Case for Kernels
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
 
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECKA SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
 
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
 
Ca31317323
Ca31317323Ca31317323
Ca31317323
 
Cache memory
Cache memoryCache memory
Cache memory
 
Coarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architectureCoarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architecture
 
Coarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c routerCoarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c router
 
Coarse grained hybrid reconfigurable architecture with noc router for variabl...
Coarse grained hybrid reconfigurable architecture with noc router for variabl...Coarse grained hybrid reconfigurable architecture with noc router for variabl...
Coarse grained hybrid reconfigurable architecture with noc router for variabl...
 

Kürzlich hochgeladen

New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 

Kürzlich hochgeladen (20)

New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 

Aw33283286

  • 1. Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.283-286 283 | P a g e FPGA Implementation of cache memory Yogesh S. Watile * , A. S. Khobragade** * (Student M.Tech, Deptt of Electronics (VLSI), PCE, Priyadarshini College of Engineering ,Nagpur , India) ** (Professor, Deptt of Electronics (VLSI), Priyadarshini College of Engineering ,Nagpur , India) Abstract We describe cache memory design suitable for use in FPGA-based cache controller and processor. Cache systems are on-chip memory element used to store data. Cache serves as a buffer between a CPU and its main memory. Cache memory is used to synchronize the data transfer rate between CPU and main memory. As cache memory closer to the micro processor, it is faster than the RAM and main memory.The advantage of storing data on cache, as compared to RAM, is that it has faster retrieval times, but it has disadvantage of on-chip energy consumption. In term of detecting miss rate in cache memory and less power consumption, The efficient cache memory will proposed by this research work, by implementation of cache memory on FPGA. We believe that our implementation achieves low complexity and low energy consumption in terms of FPGA resource usage. Keywords- cache Tag memory, cache controller, counter, cache Tag comparator. I. INTRODUCTION Field-programmable gate arrays (FPGAs) have recently been garnering attention for their successful use in computing applications. Indeed, recent work has shown that implementing computations using FPGA hardware has the potential to bring orders of magnitude improvement in energy-efficiency and throughput vs. realizing computations in software running on a conventional processor. While a variety of different memory architectures are possible in processor/accelerator systems, a commonly-used approach is one where data shared between the processor and accelerators resides in a shared memory hierarchy comprised of a cache and main memory. The advantage of such a model is its simplicity, as cache coherency mechanisms are not required despite this potential limitation; we use the shared memory model as the basis of our initial investigation, with our results being applicable (in future) multi-cache scenarios[1]. In our work, data shared among the processor and parallel accelerators is to accessed through a shared L1 cache, implemented using on- FPGA memory. Cache systems are on-chip memory element used to store data. A cache controller is used for tracking induced miss rate in cache memory. Any data requested by microprocessor is present in cache memory then the term is called „cache hit‟. The advantage of storing data on cache, as compared to RAM, is that it has faster retrieval times, but it has disadvantage of on-chip energy consumption. This paper deals with the design of efficient cache memory for detecting miss rate in cache memory and less power consumption. This cache memory may used in future work to design FPGA based cache controller. II.SYSTEM ARCHITECTURE OVERVIEW Cache controller that communicates between microprocessor and cache memory to carry out memory related operations. The functionality of the design is explained below. Fig 1 - system block diagram Cache controller receive address that microprocessor wants to access Cache controller looks for the address in L1 cache. If address present in L1 cache the data from location is provided to microprocessor via data bus. If the address not found in L1 cache then cache miss will occur. Cache controller then looks same address in cache L2. If address present in L2 cache the data from location is provided to microprocessor. The same data will replace in cache L1. If the address not found in L2 cache then cache miss will occur. In our paper work we design single cache memory for detecting miss rate in cache memory and less power consumption. This work will be used in design of FPGA based 2-way, 4-way, 8-wayset associative cache memory and used in cache controller for tracking induced miss rate.
  • 2. Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.283-286 284 | P a g e III. DESIGNED WORK In our designed work, we designed cache memory L1 using FPGA. In future with reference to designed work we can design set associative cache memory as set associative cache strike a good balance between lower miss rate and higher cost[5]. Cache memory consist Cache tag memory, cache data memory, cache tag comparator and counter. Our paper work deal with detection of cache misses. We designed cache tag memory, cache tag comparator and 10-bit counter and need not to design cache data memory for detecting cache misses as address is stored in tag memory and data is stored data memory. Address Requested by microprocessor is compared with address of data in main memory those are stored in tag memory. The cache tag memory stored address of data in main memory. Cache tag memory is modelled using behavioural style of modelling in VHDL (for example: 22-bit cache tag memory). Figure 2: cache tag memory Figure 2 shows the diagram of cache tag memory of 22 bit. When „we‟=1 it performs writing operation and „we‟=0 it performs reading operation. Data stored in the tag memory will be a addresses of main memory. The 10-bit counter used to generate 10 bit address for writing and reading operation of cache tag memory. 10-bit counter is modelled using behavioural style of modelling in VHDL. . Figure 3: 10-bit Counter Figure 3 shows the diagram of 10-bit counter. For each clock pulse counter is incremented by one. 10- bit counter provides 10-bit addresses to cache tag memory during writing and reading operation. The 22-bit cache tag comparator Cache tag comparator is used to compare address requested by microprocessor and address stored in tag memory. 22-bit cache tag comparator is modelled using behavioural style of modelling in VHDL. Figure 4: 22-bit cache tag comparator Figure 4 shows the diagram of 22-bit cache tag comparator .22-bit Cache tag comparator is compare 22-bit address requested by microprocessor and 22- bit address stored in tag memory. Address requested by microprocessor is 32-bit out which 22-bit address is used to compare with tag address.8-bit address is used as a set address and 2-bit address is used as a offset word address. To design set associative cache memory, we have to make number of sets of such cache memory which will be our future work. Figure 5: physical memory address The implementation of cache memory requires cache tag memory, 10-bit counter and cache tag comparator as shown in figure 6 IV: SIMULATION RESULTS Figure 7 shows the simulation results of cache memory. Cache memory is written in a VHDL. modelsim platform is used for simulation. To achieve the synthesis of cache memory, Xilinx platform is used. as shown in simulation results it is found that if address requested by microprocessor is matched with the address stored in cache tag memory then the cache hit will occurs, if not then cache miss will occurs.
  • 3. Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.283-286 285 | P a g e Figure 6: cache memory Figure 7: simulation results VII. CONCLUSION In this paper, we have presented design of cache memory on FPGA for detecting cache miss. Such an approach would be of great utility to many modern embedded applications, for which both high-performance and low-power are of great importance. This cache memory may used in future work to design FPGA based set associative cache memory and cache controller for tracking the induced miss rate in cache memory. REFERENCES [1] Jongsok Choi, Kevin Nam, Andrew Canis, Jason Anderson, Stephen Brown, and Tomasz Czajkowski, “Impact of Cache Architecture and Interface on Performance and Area of FPGA-Based Processor/Parallel-Accelerator Systems” ECE Department, University of Toronto, Toronto, ON, Canada ,Altera Toronto Technology Centre, Toronto, ON, Canada
  • 4. Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.283-286 286 | P a g e [2] Maciej kurek, ioannis ilkos, wayne luk, “Customizable security-aware cache for fpga-based soft processors”, Department of computing, imperial college London [3] Nawaf Almoosa, Yorai Wardi, and Sudhakar Yalamanchili, Controller Design for Tracking Induced Miss-Rates in Cache Memories, 2010 8th IEEE International Conference on Control and Automation Xiamen, China, June 9-11, 2010 [4] Computer architecture and organisation : John .p. Hayes(Mc Graw hill publication) [5] Computer architecture: Forth Edition, John L. hennessy and David A. Patterson. [6] Vipin S. Bhure , Praveen R. Chakole, “Design of Cache Controller for Multi-core Processor System” international Journal of Electronics and Computer Science Engineering, ISSN: 2277-1956