SlideShare ist ein Scribd-Unternehmen logo
1 von 27
www.linx-consulting.com
617.273.8837• 973.698.2331
1
Changes Within the Global Electronic Materials
Supply Chain and Challenges for the Future
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
2
Linx Consulting
1. We create knowledge and develop unique insights at the
intersection of electronic thin film processes and the chemicals
industry
2. We help our clients to succeed through our:
• Experience in global electronics and advanced materials and thin film
processing industries:
• Experience in the global chemicals industry
• Experience at Device Producers
• Experience at OEMs
• Global network and capabilities
• Advanced modeling capabilities
– Semi
– LCD
– Packaging
– PV
– Nano Technology
– Other
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
3
Another Below-trend Year for Global Growth
-4
-2
0
2
4
6
95
96
97
98
99
00
01
02
03
04
05
06
07
08
09
10
11
12
13
14
15
%Change
Forecast
Long-term Trend
World Real GDP Growth
Relative to 2012: slightly weaker in US; mild recession in Eurozone continues;
slightly stronger in Asia, with positive spillovers to other emerging economies
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
4
Q1 2013 Semiconductor Forecast
800
1,200
1,600
2,000
2,400
2,800
3,200
06 07 08 09 10 11 12 13 14 15
SEMI MSI
Forecast
Shaded areas indicate US recessions
Annual Percent Change
2009 2010 2011 2012 2013 2014 2015
-17.6 39.7 -3.5 -0.1 5.4 9.6 6.5
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
5
Changing Market Drivers
• Desktop PC market slowed and has matured
• Laptop PC market growing , but at a lower rate
• Chipsets for smartphones and tablets are analogous
• High growth as penetration increases
The Growth of Mobile
SEE BEYOND THE HORIZON
Source: Qualcomm, IDC
www.linx-consulting.com
617.273.8837• 973.698.2331
6
Segment Trends
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
7
The Major Challenges For ICs
3D Packaging
450mm
Gate Architecture
EUV
New Memory
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
8
Long Range Device Mix Forecast
Total 300 and 450mm
wafers
3DPackaging?
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
9
Is Moore’s Law Broken?
• Current process technology
diverges from the historic
cost per bit curve as
multipatterning and process
complexity increase.
• EUV reduces this
divergence by reducing litho
complexity and saving
some patterning cost
• Combining EUV with
450mm allows the cost per
bit to stay on trend.
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
10
Lithography Drivers
• The introduction of Immersion 193nm
scanners was the last major wavelength
improvement
• Off-axis illumination, phase shift masking,
assist features, etc have extended
lithography capability
• Self Aligned Double Patterning, multi-
layer resists, multi-patterning, and source
mask optimization have extended
process capability significantly
• Given current trends EUV may provide a
significant capability increase after 2015
• Indications are that NXE 3300B
shipments will commence in 2013 with
sources enabling 70 wafers per hour at
customers in mid-2014
Difficult
“Easy”
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
11
EUV Wafer Cost Effect
• Cost in $/cm2 for a 300mm
foundry logic process in a
Taiwan foundry.
• First year of implementation
EUV is used for most critical
layers. Beginning year 3 older
EUV systems used in “mix and
match” strategy totally
eliminating all multi-patterning.
• In 2016 added cost of late
implementation could amount
$8.5Bn of 110k w/mo.
Source: Strategic Cost Model - revision 1109
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
12
0
50
100
150
200
250
300
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
300mm Fabs Only
R&D
Other
MPU
Flash
DRAM
ASIC
R&D
Other
MPU
Flash
DRAM
ASIC
Fab Requirement
300mm
R&D = 5k wpm
Other = 25k wpm
Flash = 100k wpm
MPU = 30k wpm
DRAM = 60k wpm
ASIC = 30k wpm
450mm
R&D = 7.5k wpm
Other = 37.5k wpm
Flash = 150k wpm
MPU = 45k wpm
DRAM = 90k wpm
ASIC = 45k wpm
Source: 300 & 450mm Forecast Model 1201
0
50
100
150
200
250
300
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
300mm and 450mm
R&D
Other
MPU
Flash
DRAM
ASIC
R&D
Other
MPU
Flash
DRAM
ASIC
Series13
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
13
Electronic Materials, 2012
0
20
40
60
80
100%
% total electronic materials
% total category ($Bn)
Semi
Photomasks
CSP
Spec. gases
Bulk gases
Deposition
CMP
PR ancillaries
Photoresist
Wafers
LCD
Other
Liquid crystals
LMF
Chemicals
Metalization
Resists
Gases
Substrates
Packaging
Gases & Chems
Die attach & underfill
Encapsulants
Ceramic package
Plastic Subs.
Frames & Wires
PCB
Substrates
Patterning
Metalization
Chems &
Gases
Solders
Other
Electrolytes
Cathodes/anodes
Solar
Wafers
Metals
Saw wire
Slurry
Pastes
Chemicals
Gases
Encapsulants
Backsheets
Glass substrates
Packaging
Other
Gases
Dep.
Substrates
Total = 122.8
Adv Batt
Separators
Compound/LED
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
14
Electronic Segment Drivers
Device Segment Semi FPD PV HBLED
Driver • Memory
requirements
• BOPS
• Portable
electronics
• Human
interface
• Touch panel
• Viewing angle
• Environmental
• Lower cost / Wp
• Environmental
• Lower cost per lumen
Key technologies • Lithography
• MGuFET
• Novel Materials
• PCRAM /
RRAM / CT
• IPS
• PVA
• MVA
• Materials
• Processes
• Designs
• Cost
• MOCVD for nitride film
growth
• Phosphors
• Encapsulants
• Thermal management
Materials Segment Commodities Specialties
Driver • Large volume
• Consistency
• Cost
• Low volume
• High service requirements
• Proprietary products
• not easily substituted
• Purchased for performance
• Profit margins are higher
Key technologies • High volume manufacturing • Proprietary formulations
• Synthesis
• Applications expertise
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
15
Materials Intensity by Market
$300Bn$Bn Semiconductors has the lowest
materials intensity, but requires
a high R&D input in
comparison to comparable
electronic device segments.
Materials requirements
includes substrates, and
packaging materials.
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
16
Growing Importance of Process Materials
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
17
Semiconductor Material Demand
• Process complexity is driving higher
growth in materials demand than
the wafer start growth
– The BOM component of
semiconductor sales will
increase over the next 5 years
• Photoresist and Ancillaries will
show segment growth of
15%, higher than other major
segments, and higher than the
wafer start growth.
• Vapor deposition will continue to
displace physical deposition, driving
growth of ALD and CVD materials.
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
18
Industry Structure, 2014
0
10,000
20,000
30,000
40,000
>1 1 0.8 0.5 0.35 0.25 0.18 0.13 0.045 0.032
0.09
0.065
DRAM
NAND
NOR
Adv Logic
Logic
Analog
Discrete
Notes:
1. Bottom axis is on a percentage basis
2. Size of box is proportional to # wafer starts
3. Source: Semico and Linx estimates
The new “Silicon Valley”
Business model changes required / desirable?
Materials innovations required
WSPY, K
Broadband
i-line
248nm
193/193i
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
19
Litho Roadmap - NAND
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
20
300mm Production
US Europe S’Pore China Taiwan
Korea Japan
Memory Foundry Logic
Where are the Materials Suppliers?
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
21
Little Consolidation in Chemicals
However, the equipment
producers have begun a recent
round of consolidation with:
• Applied Materials acquiring
Semitool and Varian
• Tokyo Electron acquiring
Nexx Systems and Oerlikon
• Lam Research acquiring
Novellus
Many indications are that this may
be to align each companies’
portfolio for through silicon via
(TSV)
Herfindahl Index example in
CMP slurries. There has
been little consolidation in
the supply of electronic
chemicals.
Consolidation is
happening at the end
user level in semi and
LCD
• Micron will likely
acquire Elpida
• Formation of Japan
Display – Sony,
Toshiba, Hitachi in
FPD
Electronic Chemicals Fab Equipment Device Producers
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
22
The Quality Journey
• Quality Improvement
– Increasing number of metals and elements in CofA
• 8 to 24
– Increased Sensitivity
• ppm -> ppb -> ppt
• Inorganic chemicals regularly specified at ppt levels
– Function specifications becoming more specific
• Resolution, DOF EL, line collapse, profile, adhesion, footing, toploss, LER, LWR
• Selective etch rates
• Polish rates, defectivity, dishing
• Service Improvement
– Beyond SPC
– Ship to stock qualification
• Sub-Supplier Monitoring
– Materials component supply analysis
– Materials fingerprinting
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
23
Advanced Materials
Learning/Characterization
• Well controlled manufacturing
at the supplier leads to product
qualification
• Changed process at the sub-
supplier changes end product
performance
• Process audit located the
change, and was rectified at
cost of time and product
Sourcing
Reaction
Purification
Packaging
Shipping
Receiving
Reaction
Formulation
Purification
Application Test
QC
Distillation
Shipping
QC
SupplierSub
Supplier
Sub
Supplier
Purification
• Sub-supplier process mapping
during product development
• Beyond CofA material
fingerprinting
• Understand and Control
variation
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
24
FUTURE TRENDS TO WATCH
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
25
Directed Self Assembly
• DSA represents a possible resolution
extension for ArFi:
– Segregating Block Co-polymers
form reduced pitch alternating
polymer films with selective etch
properties.
– Pattern transfer follows
traditional routes.
• DSA is being pursued by multiple
companies.
• DSA can be implemented with
optical, e-beam, imprint or EUV
exposure.
• Defect levels remain high, but have
been reduced to levels that indicate
production capability.
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
26
TSV Scenarios – More Than Moore
Category 2010 - 2015 2016 - 2020 2021 - 2025
DRAM
LOGIC
NAND
Source: HMCC
MemoryCube
Stacked
DRAM
HybridCube
Consolidate
address logic
on one
device
SystemCube
Package
system
components
vertically
SEE BEYOND THE HORIZON
www.linx-consulting.com
617.273.8837• 973.698.2331
27
Conclusions
• Growth returns in 2013.
• A high upside potential remains in specialty materials for Semiconductors
– This is offset by significant R&D requirements
– HKMG, FinFETs, FDSOI, 3D-NAND and STT-MRAM development programs are
already well advanced, although challenges remain at 22nm and below.
– Now is the time to place bets for the next generation architectures.
• More Moore has significant implications for both equipment and materials suppliers
– 450mm is driven by fab economics, not materials markets. Prepare for
deployment over the next 5 years.
– 450mm will probably slow materials market growth.
• EUV is needed ASAP to mitigate process complexity and keep wafer cost low.
Challenges of source power, and resist performance continue to slow implementation.
• Materials demand grows faster than Semiconductor Unit growth due to process
complexity.
– Patterning, CVD and ALD, and CMP all drive materials demand growth.
• 3D Packaging and TSV processing is a key area for focus over the next 5 years.
SEE BEYOND THE HORIZON

Weitere ähnliche Inhalte

Was ist angesagt?

Data Center Market and Technology Trends Power Electronics presentation held ...
Data Center Market and Technology Trends Power Electronics presentation held ...Data Center Market and Technology Trends Power Electronics presentation held ...
Data Center Market and Technology Trends Power Electronics presentation held ...Yole Developpement
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Yole Developpement
 
Patent investigation on LED phosphors and down-converters
Patent investigation on LED phosphors and down-convertersPatent investigation on LED phosphors and down-converters
Patent investigation on LED phosphors and down-convertersKnowmade
 
market analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companiesmarket analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companieshaythahie
 
ON Semiconductor Corporate Overview Presentation
ON Semiconductor Corporate Overview PresentationON Semiconductor Corporate Overview Presentation
ON Semiconductor Corporate Overview PresentationON Semiconductor
 
Semiconductor industry in china20151126R1.2
Semiconductor industry in china20151126R1.2Semiconductor industry in china20151126R1.2
Semiconductor industry in china20151126R1.2xiaolong zheng
 
Challenges of Silicon Photonics Testing from a Foundry's Perspective_SEMICON2016
Challenges of Silicon Photonics Testing from a Foundry's Perspective_SEMICON2016Challenges of Silicon Photonics Testing from a Foundry's Perspective_SEMICON2016
Challenges of Silicon Photonics Testing from a Foundry's Perspective_SEMICON2016Tiong Leh (Johnny) YAP
 
Keeping innovation moving asml
Keeping innovation moving asmlKeeping innovation moving asml
Keeping innovation moving asmlODINNNL
 
Case study analysis of automotive industry.
Case study analysis of automotive industry.Case study analysis of automotive industry.
Case study analysis of automotive industry.Rashmi Dissanayake
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Yole Developpement
 
MiniLED for Display Applications: LCD and Digital Signage report by Yole Déve...
MiniLED for Display Applications: LCD and Digital Signage report by Yole Déve...MiniLED for Display Applications: LCD and Digital Signage report by Yole Déve...
MiniLED for Display Applications: LCD and Digital Signage report by Yole Déve...Yole Developpement
 
Next generation power modules - patent landscape 2021 - sample
Next generation power modules - patent landscape 2021 - sampleNext generation power modules - patent landscape 2021 - sample
Next generation power modules - patent landscape 2021 - sampleKnowmade
 
EBV e Freescale: cosa possono fare per lo sviluppo delle vostre applicazioni ...
EBV e Freescale: cosa possono fare per lo sviluppo delle vostre applicazioni ...EBV e Freescale: cosa possono fare per lo sviluppo delle vostre applicazioni ...
EBV e Freescale: cosa possono fare per lo sviluppo delle vostre applicazioni ...QT-day
 
CMP Dow Goldman7 12 05
CMP Dow Goldman7 12 05CMP Dow Goldman7 12 05
CMP Dow Goldman7 12 05UC Berkeley
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Yole Developpement
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...Yole Developpement
 
Qualcomm: Strategic Innovation Part 2
Qualcomm: Strategic Innovation Part 2Qualcomm: Strategic Innovation Part 2
Qualcomm: Strategic Innovation Part 2John A. Orlando
 
VCSELs Technology Industry and Market Trends
VCSELs Technology Industry and Market TrendsVCSELs Technology Industry and Market Trends
VCSELs Technology Industry and Market TrendsYole Developpement
 

Was ist angesagt? (20)

Semiconductor Industry Tutorial
Semiconductor Industry TutorialSemiconductor Industry Tutorial
Semiconductor Industry Tutorial
 
Data Center Market and Technology Trends Power Electronics presentation held ...
Data Center Market and Technology Trends Power Electronics presentation held ...Data Center Market and Technology Trends Power Electronics presentation held ...
Data Center Market and Technology Trends Power Electronics presentation held ...
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021
 
Patent investigation on LED phosphors and down-converters
Patent investigation on LED phosphors and down-convertersPatent investigation on LED phosphors and down-converters
Patent investigation on LED phosphors and down-converters
 
market analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companiesmarket analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companies
 
ON Semiconductor Corporate Overview Presentation
ON Semiconductor Corporate Overview PresentationON Semiconductor Corporate Overview Presentation
ON Semiconductor Corporate Overview Presentation
 
Semiconductor industry in china20151126R1.2
Semiconductor industry in china20151126R1.2Semiconductor industry in china20151126R1.2
Semiconductor industry in china20151126R1.2
 
Challenges of Silicon Photonics Testing from a Foundry's Perspective_SEMICON2016
Challenges of Silicon Photonics Testing from a Foundry's Perspective_SEMICON2016Challenges of Silicon Photonics Testing from a Foundry's Perspective_SEMICON2016
Challenges of Silicon Photonics Testing from a Foundry's Perspective_SEMICON2016
 
ASML
ASMLASML
ASML
 
Keeping innovation moving asml
Keeping innovation moving asmlKeeping innovation moving asml
Keeping innovation moving asml
 
Case study analysis of automotive industry.
Case study analysis of automotive industry.Case study analysis of automotive industry.
Case study analysis of automotive industry.
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
 
MiniLED for Display Applications: LCD and Digital Signage report by Yole Déve...
MiniLED for Display Applications: LCD and Digital Signage report by Yole Déve...MiniLED for Display Applications: LCD and Digital Signage report by Yole Déve...
MiniLED for Display Applications: LCD and Digital Signage report by Yole Déve...
 
Next generation power modules - patent landscape 2021 - sample
Next generation power modules - patent landscape 2021 - sampleNext generation power modules - patent landscape 2021 - sample
Next generation power modules - patent landscape 2021 - sample
 
EBV e Freescale: cosa possono fare per lo sviluppo delle vostre applicazioni ...
EBV e Freescale: cosa possono fare per lo sviluppo delle vostre applicazioni ...EBV e Freescale: cosa possono fare per lo sviluppo delle vostre applicazioni ...
EBV e Freescale: cosa possono fare per lo sviluppo delle vostre applicazioni ...
 
CMP Dow Goldman7 12 05
CMP Dow Goldman7 12 05CMP Dow Goldman7 12 05
CMP Dow Goldman7 12 05
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
 
Qualcomm: Strategic Innovation Part 2
Qualcomm: Strategic Innovation Part 2Qualcomm: Strategic Innovation Part 2
Qualcomm: Strategic Innovation Part 2
 
VCSELs Technology Industry and Market Trends
VCSELs Technology Industry and Market TrendsVCSELs Technology Industry and Market Trends
VCSELs Technology Industry and Market Trends
 

Ähnlich wie Global electronic-materials-supply-chain

MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021Yole Developpement
 
Ciena Broadband Access Solutions Seminar Fiber Connect.pptx
Ciena Broadband Access Solutions Seminar Fiber Connect.pptxCiena Broadband Access Solutions Seminar Fiber Connect.pptx
Ciena Broadband Access Solutions Seminar Fiber Connect.pptxrmatos1
 
Corning FTTH Seminar Fiber Connect.pptx
Corning FTTH Seminar Fiber Connect.pptxCorning FTTH Seminar Fiber Connect.pptx
Corning FTTH Seminar Fiber Connect.pptxrmatos1
 
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...Cheryl Tulkoff
 
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...Cheryl Tulkoff
 
I nop brochure_2017
I nop brochure_2017I nop brochure_2017
I nop brochure_2017frankjoh
 
Thin Film Semiconductor Deposition Market, Size, Share 2022
Thin Film Semiconductor Deposition Market, Size, Share 2022Thin Film Semiconductor Deposition Market, Size, Share 2022
Thin Film Semiconductor Deposition Market, Size, Share 2022Radhika Patil
 
3D Embedded Substrate Technologies Increase Density and Performance of Power ...
3D Embedded Substrate Technologies Increase Density and Performance of Power ...3D Embedded Substrate Technologies Increase Density and Performance of Power ...
3D Embedded Substrate Technologies Increase Density and Performance of Power ...Design World
 
Inop presentation dec sgb 2017
Inop presentation dec sgb 2017Inop presentation dec sgb 2017
Inop presentation dec sgb 2017frankjoh
 
Ambature presentation
Ambature presentationAmbature presentation
Ambature presentationĐinh Dũng
 
ADDITIVE MANUFACTURING
ADDITIVE MANUFACTURINGADDITIVE MANUFACTURING
ADDITIVE MANUFACTURINGDenny John
 
"The Coming Shift from Image Sensors to Image Sensing," a Presentation from LG
"The Coming Shift from Image Sensors to Image Sensing," a Presentation from LG"The Coming Shift from Image Sensors to Image Sensing," a Presentation from LG
"The Coming Shift from Image Sensors to Image Sensing," a Presentation from LGEdge AI and Vision Alliance
 
nippon semiconductor
nippon semiconductornippon semiconductor
nippon semiconductorvikas gupta
 
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GDesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GLeah Wilkinson
 
Virtual Retinal Display: their falling cost and rising performance
Virtual Retinal Display: their falling cost and rising performanceVirtual Retinal Display: their falling cost and rising performance
Virtual Retinal Display: their falling cost and rising performanceJeffrey Funk
 
Flexible Electronics PPT by Sourabh Kumar
Flexible Electronics PPT by Sourabh KumarFlexible Electronics PPT by Sourabh Kumar
Flexible Electronics PPT by Sourabh KumarSourabh Kumar
 
RevolutionFibres Project Poster
RevolutionFibres Project PosterRevolutionFibres Project Poster
RevolutionFibres Project PosterDeveshoan Michael
 
Silicon Photonics 2014 Report by Yole Developpement
Silicon Photonics 2014 Report by Yole DeveloppementSilicon Photonics 2014 Report by Yole Developpement
Silicon Photonics 2014 Report by Yole DeveloppementYole Developpement
 
Small Cell Forum: Lighting the path to 5G
Small Cell Forum: Lighting the path to 5GSmall Cell Forum: Lighting the path to 5G
Small Cell Forum: Lighting the path to 5GSmall Cell Forum
 

Ähnlich wie Global electronic-materials-supply-chain (20)

MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021
 
Ciena Broadband Access Solutions Seminar Fiber Connect.pptx
Ciena Broadband Access Solutions Seminar Fiber Connect.pptxCiena Broadband Access Solutions Seminar Fiber Connect.pptx
Ciena Broadband Access Solutions Seminar Fiber Connect.pptx
 
Corning FTTH Seminar Fiber Connect.pptx
Corning FTTH Seminar Fiber Connect.pptxCorning FTTH Seminar Fiber Connect.pptx
Corning FTTH Seminar Fiber Connect.pptx
 
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
 
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
 
I nop brochure_2017
I nop brochure_2017I nop brochure_2017
I nop brochure_2017
 
Thin Film Semiconductor Deposition Market, Size, Share 2022
Thin Film Semiconductor Deposition Market, Size, Share 2022Thin Film Semiconductor Deposition Market, Size, Share 2022
Thin Film Semiconductor Deposition Market, Size, Share 2022
 
3D Embedded Substrate Technologies Increase Density and Performance of Power ...
3D Embedded Substrate Technologies Increase Density and Performance of Power ...3D Embedded Substrate Technologies Increase Density and Performance of Power ...
3D Embedded Substrate Technologies Increase Density and Performance of Power ...
 
Inop presentation dec sgb 2017
Inop presentation dec sgb 2017Inop presentation dec sgb 2017
Inop presentation dec sgb 2017
 
Ambature presentation
Ambature presentationAmbature presentation
Ambature presentation
 
ADDITIVE MANUFACTURING
ADDITIVE MANUFACTURINGADDITIVE MANUFACTURING
ADDITIVE MANUFACTURING
 
Hvmg14oreip
Hvmg14oreipHvmg14oreip
Hvmg14oreip
 
"The Coming Shift from Image Sensors to Image Sensing," a Presentation from LG
"The Coming Shift from Image Sensors to Image Sensing," a Presentation from LG"The Coming Shift from Image Sensors to Image Sensing," a Presentation from LG
"The Coming Shift from Image Sensors to Image Sensing," a Presentation from LG
 
nippon semiconductor
nippon semiconductornippon semiconductor
nippon semiconductor
 
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GDesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
 
Virtual Retinal Display: their falling cost and rising performance
Virtual Retinal Display: their falling cost and rising performanceVirtual Retinal Display: their falling cost and rising performance
Virtual Retinal Display: their falling cost and rising performance
 
Flexible Electronics PPT by Sourabh Kumar
Flexible Electronics PPT by Sourabh KumarFlexible Electronics PPT by Sourabh Kumar
Flexible Electronics PPT by Sourabh Kumar
 
RevolutionFibres Project Poster
RevolutionFibres Project PosterRevolutionFibres Project Poster
RevolutionFibres Project Poster
 
Silicon Photonics 2014 Report by Yole Developpement
Silicon Photonics 2014 Report by Yole DeveloppementSilicon Photonics 2014 Report by Yole Developpement
Silicon Photonics 2014 Report by Yole Developpement
 
Small Cell Forum: Lighting the path to 5G
Small Cell Forum: Lighting the path to 5GSmall Cell Forum: Lighting the path to 5G
Small Cell Forum: Lighting the path to 5G
 

Kürzlich hochgeladen

It will be International Nurses' Day on 12 May
It will be International Nurses' Day on 12 MayIt will be International Nurses' Day on 12 May
It will be International Nurses' Day on 12 MayNZSG
 
Falcon's Invoice Discounting: Your Path to Prosperity
Falcon's Invoice Discounting: Your Path to ProsperityFalcon's Invoice Discounting: Your Path to Prosperity
Falcon's Invoice Discounting: Your Path to Prosperityhemanthkumar470700
 
Katrina Personal Brand Project and portfolio 1
Katrina Personal Brand Project and portfolio 1Katrina Personal Brand Project and portfolio 1
Katrina Personal Brand Project and portfolio 1kcpayne
 
Phases of Negotiation .pptx
 Phases of Negotiation .pptx Phases of Negotiation .pptx
Phases of Negotiation .pptxnandhinijagan9867
 
Call Girls Ludhiana Just Call 98765-12871 Top Class Call Girl Service Available
Call Girls Ludhiana Just Call 98765-12871 Top Class Call Girl Service AvailableCall Girls Ludhiana Just Call 98765-12871 Top Class Call Girl Service Available
Call Girls Ludhiana Just Call 98765-12871 Top Class Call Girl Service AvailableSeo
 
RSA Conference Exhibitor List 2024 - Exhibitors Data
RSA Conference Exhibitor List 2024 - Exhibitors DataRSA Conference Exhibitor List 2024 - Exhibitors Data
RSA Conference Exhibitor List 2024 - Exhibitors DataExhibitors Data
 
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...lizamodels9
 
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...rajveerescorts2022
 
Call Girls Navi Mumbai Just Call 9907093804 Top Class Call Girl Service Avail...
Call Girls Navi Mumbai Just Call 9907093804 Top Class Call Girl Service Avail...Call Girls Navi Mumbai Just Call 9907093804 Top Class Call Girl Service Avail...
Call Girls Navi Mumbai Just Call 9907093804 Top Class Call Girl Service Avail...Dipal Arora
 
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...amitlee9823
 
Uneak White's Personal Brand Exploration Presentation
Uneak White's Personal Brand Exploration PresentationUneak White's Personal Brand Exploration Presentation
Uneak White's Personal Brand Exploration Presentationuneakwhite
 
BAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
BAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRLBAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
BAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRLkapoorjyoti4444
 
Call Girls In DLf Gurgaon ➥99902@11544 ( Best price)100% Genuine Escort In 24...
Call Girls In DLf Gurgaon ➥99902@11544 ( Best price)100% Genuine Escort In 24...Call Girls In DLf Gurgaon ➥99902@11544 ( Best price)100% Genuine Escort In 24...
Call Girls In DLf Gurgaon ➥99902@11544 ( Best price)100% Genuine Escort In 24...lizamodels9
 
Pharma Works Profile of Karan Communications
Pharma Works Profile of Karan CommunicationsPharma Works Profile of Karan Communications
Pharma Works Profile of Karan Communicationskarancommunications
 
Cracking the Cultural Competence Code.pptx
Cracking the Cultural Competence Code.pptxCracking the Cultural Competence Code.pptx
Cracking the Cultural Competence Code.pptxWorkforce Group
 
Enhancing and Restoring Safety & Quality Cultures - Dave Litwiller - May 2024...
Enhancing and Restoring Safety & Quality Cultures - Dave Litwiller - May 2024...Enhancing and Restoring Safety & Quality Cultures - Dave Litwiller - May 2024...
Enhancing and Restoring Safety & Quality Cultures - Dave Litwiller - May 2024...Dave Litwiller
 
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdfDr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdfAdmir Softic
 
Business Model Canvas (BMC)- A new venture concept
Business Model Canvas (BMC)-  A new venture conceptBusiness Model Canvas (BMC)-  A new venture concept
Business Model Canvas (BMC)- A new venture conceptP&CO
 

Kürzlich hochgeladen (20)

It will be International Nurses' Day on 12 May
It will be International Nurses' Day on 12 MayIt will be International Nurses' Day on 12 May
It will be International Nurses' Day on 12 May
 
Falcon's Invoice Discounting: Your Path to Prosperity
Falcon's Invoice Discounting: Your Path to ProsperityFalcon's Invoice Discounting: Your Path to Prosperity
Falcon's Invoice Discounting: Your Path to Prosperity
 
Katrina Personal Brand Project and portfolio 1
Katrina Personal Brand Project and portfolio 1Katrina Personal Brand Project and portfolio 1
Katrina Personal Brand Project and portfolio 1
 
Phases of Negotiation .pptx
 Phases of Negotiation .pptx Phases of Negotiation .pptx
Phases of Negotiation .pptx
 
Call Girls Ludhiana Just Call 98765-12871 Top Class Call Girl Service Available
Call Girls Ludhiana Just Call 98765-12871 Top Class Call Girl Service AvailableCall Girls Ludhiana Just Call 98765-12871 Top Class Call Girl Service Available
Call Girls Ludhiana Just Call 98765-12871 Top Class Call Girl Service Available
 
RSA Conference Exhibitor List 2024 - Exhibitors Data
RSA Conference Exhibitor List 2024 - Exhibitors DataRSA Conference Exhibitor List 2024 - Exhibitors Data
RSA Conference Exhibitor List 2024 - Exhibitors Data
 
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
 
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
 
Call Girls Navi Mumbai Just Call 9907093804 Top Class Call Girl Service Avail...
Call Girls Navi Mumbai Just Call 9907093804 Top Class Call Girl Service Avail...Call Girls Navi Mumbai Just Call 9907093804 Top Class Call Girl Service Avail...
Call Girls Navi Mumbai Just Call 9907093804 Top Class Call Girl Service Avail...
 
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
 
Uneak White's Personal Brand Exploration Presentation
Uneak White's Personal Brand Exploration PresentationUneak White's Personal Brand Exploration Presentation
Uneak White's Personal Brand Exploration Presentation
 
Falcon Invoice Discounting platform in india
Falcon Invoice Discounting platform in indiaFalcon Invoice Discounting platform in india
Falcon Invoice Discounting platform in india
 
BAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
BAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRLBAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
BAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
 
Call Girls In DLf Gurgaon ➥99902@11544 ( Best price)100% Genuine Escort In 24...
Call Girls In DLf Gurgaon ➥99902@11544 ( Best price)100% Genuine Escort In 24...Call Girls In DLf Gurgaon ➥99902@11544 ( Best price)100% Genuine Escort In 24...
Call Girls In DLf Gurgaon ➥99902@11544 ( Best price)100% Genuine Escort In 24...
 
Pharma Works Profile of Karan Communications
Pharma Works Profile of Karan CommunicationsPharma Works Profile of Karan Communications
Pharma Works Profile of Karan Communications
 
Cracking the Cultural Competence Code.pptx
Cracking the Cultural Competence Code.pptxCracking the Cultural Competence Code.pptx
Cracking the Cultural Competence Code.pptx
 
Enhancing and Restoring Safety & Quality Cultures - Dave Litwiller - May 2024...
Enhancing and Restoring Safety & Quality Cultures - Dave Litwiller - May 2024...Enhancing and Restoring Safety & Quality Cultures - Dave Litwiller - May 2024...
Enhancing and Restoring Safety & Quality Cultures - Dave Litwiller - May 2024...
 
VVVIP Call Girls In Greater Kailash ➡️ Delhi ➡️ 9999965857 🚀 No Advance 24HRS...
VVVIP Call Girls In Greater Kailash ➡️ Delhi ➡️ 9999965857 🚀 No Advance 24HRS...VVVIP Call Girls In Greater Kailash ➡️ Delhi ➡️ 9999965857 🚀 No Advance 24HRS...
VVVIP Call Girls In Greater Kailash ➡️ Delhi ➡️ 9999965857 🚀 No Advance 24HRS...
 
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdfDr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
 
Business Model Canvas (BMC)- A new venture concept
Business Model Canvas (BMC)-  A new venture conceptBusiness Model Canvas (BMC)-  A new venture concept
Business Model Canvas (BMC)- A new venture concept
 

Global electronic-materials-supply-chain

  • 1. www.linx-consulting.com 617.273.8837• 973.698.2331 1 Changes Within the Global Electronic Materials Supply Chain and Challenges for the Future SEE BEYOND THE HORIZON
  • 2. www.linx-consulting.com 617.273.8837• 973.698.2331 2 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals industry 2. We help our clients to succeed through our: • Experience in global electronics and advanced materials and thin film processing industries: • Experience in the global chemicals industry • Experience at Device Producers • Experience at OEMs • Global network and capabilities • Advanced modeling capabilities – Semi – LCD – Packaging – PV – Nano Technology – Other SEE BEYOND THE HORIZON
  • 3. www.linx-consulting.com 617.273.8837• 973.698.2331 3 Another Below-trend Year for Global Growth -4 -2 0 2 4 6 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 %Change Forecast Long-term Trend World Real GDP Growth Relative to 2012: slightly weaker in US; mild recession in Eurozone continues; slightly stronger in Asia, with positive spillovers to other emerging economies SEE BEYOND THE HORIZON
  • 4. www.linx-consulting.com 617.273.8837• 973.698.2331 4 Q1 2013 Semiconductor Forecast 800 1,200 1,600 2,000 2,400 2,800 3,200 06 07 08 09 10 11 12 13 14 15 SEMI MSI Forecast Shaded areas indicate US recessions Annual Percent Change 2009 2010 2011 2012 2013 2014 2015 -17.6 39.7 -3.5 -0.1 5.4 9.6 6.5 SEE BEYOND THE HORIZON
  • 5. www.linx-consulting.com 617.273.8837• 973.698.2331 5 Changing Market Drivers • Desktop PC market slowed and has matured • Laptop PC market growing , but at a lower rate • Chipsets for smartphones and tablets are analogous • High growth as penetration increases The Growth of Mobile SEE BEYOND THE HORIZON Source: Qualcomm, IDC
  • 7. www.linx-consulting.com 617.273.8837• 973.698.2331 7 The Major Challenges For ICs 3D Packaging 450mm Gate Architecture EUV New Memory SEE BEYOND THE HORIZON
  • 8. www.linx-consulting.com 617.273.8837• 973.698.2331 8 Long Range Device Mix Forecast Total 300 and 450mm wafers 3DPackaging? SEE BEYOND THE HORIZON
  • 9. www.linx-consulting.com 617.273.8837• 973.698.2331 9 Is Moore’s Law Broken? • Current process technology diverges from the historic cost per bit curve as multipatterning and process complexity increase. • EUV reduces this divergence by reducing litho complexity and saving some patterning cost • Combining EUV with 450mm allows the cost per bit to stay on trend. SEE BEYOND THE HORIZON
  • 10. www.linx-consulting.com 617.273.8837• 973.698.2331 10 Lithography Drivers • The introduction of Immersion 193nm scanners was the last major wavelength improvement • Off-axis illumination, phase shift masking, assist features, etc have extended lithography capability • Self Aligned Double Patterning, multi- layer resists, multi-patterning, and source mask optimization have extended process capability significantly • Given current trends EUV may provide a significant capability increase after 2015 • Indications are that NXE 3300B shipments will commence in 2013 with sources enabling 70 wafers per hour at customers in mid-2014 Difficult “Easy” SEE BEYOND THE HORIZON
  • 11. www.linx-consulting.com 617.273.8837• 973.698.2331 11 EUV Wafer Cost Effect • Cost in $/cm2 for a 300mm foundry logic process in a Taiwan foundry. • First year of implementation EUV is used for most critical layers. Beginning year 3 older EUV systems used in “mix and match” strategy totally eliminating all multi-patterning. • In 2016 added cost of late implementation could amount $8.5Bn of 110k w/mo. Source: Strategic Cost Model - revision 1109 SEE BEYOND THE HORIZON
  • 12. www.linx-consulting.com 617.273.8837• 973.698.2331 12 0 50 100 150 200 250 300 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 2025 2026 2027 2028 2029 300mm Fabs Only R&D Other MPU Flash DRAM ASIC R&D Other MPU Flash DRAM ASIC Fab Requirement 300mm R&D = 5k wpm Other = 25k wpm Flash = 100k wpm MPU = 30k wpm DRAM = 60k wpm ASIC = 30k wpm 450mm R&D = 7.5k wpm Other = 37.5k wpm Flash = 150k wpm MPU = 45k wpm DRAM = 90k wpm ASIC = 45k wpm Source: 300 & 450mm Forecast Model 1201 0 50 100 150 200 250 300 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 2025 2026 2027 2028 2029 300mm and 450mm R&D Other MPU Flash DRAM ASIC R&D Other MPU Flash DRAM ASIC Series13 SEE BEYOND THE HORIZON
  • 13. www.linx-consulting.com 617.273.8837• 973.698.2331 13 Electronic Materials, 2012 0 20 40 60 80 100% % total electronic materials % total category ($Bn) Semi Photomasks CSP Spec. gases Bulk gases Deposition CMP PR ancillaries Photoresist Wafers LCD Other Liquid crystals LMF Chemicals Metalization Resists Gases Substrates Packaging Gases & Chems Die attach & underfill Encapsulants Ceramic package Plastic Subs. Frames & Wires PCB Substrates Patterning Metalization Chems & Gases Solders Other Electrolytes Cathodes/anodes Solar Wafers Metals Saw wire Slurry Pastes Chemicals Gases Encapsulants Backsheets Glass substrates Packaging Other Gases Dep. Substrates Total = 122.8 Adv Batt Separators Compound/LED SEE BEYOND THE HORIZON
  • 14. www.linx-consulting.com 617.273.8837• 973.698.2331 14 Electronic Segment Drivers Device Segment Semi FPD PV HBLED Driver • Memory requirements • BOPS • Portable electronics • Human interface • Touch panel • Viewing angle • Environmental • Lower cost / Wp • Environmental • Lower cost per lumen Key technologies • Lithography • MGuFET • Novel Materials • PCRAM / RRAM / CT • IPS • PVA • MVA • Materials • Processes • Designs • Cost • MOCVD for nitride film growth • Phosphors • Encapsulants • Thermal management Materials Segment Commodities Specialties Driver • Large volume • Consistency • Cost • Low volume • High service requirements • Proprietary products • not easily substituted • Purchased for performance • Profit margins are higher Key technologies • High volume manufacturing • Proprietary formulations • Synthesis • Applications expertise SEE BEYOND THE HORIZON
  • 15. www.linx-consulting.com 617.273.8837• 973.698.2331 15 Materials Intensity by Market $300Bn$Bn Semiconductors has the lowest materials intensity, but requires a high R&D input in comparison to comparable electronic device segments. Materials requirements includes substrates, and packaging materials. SEE BEYOND THE HORIZON
  • 17. www.linx-consulting.com 617.273.8837• 973.698.2331 17 Semiconductor Material Demand • Process complexity is driving higher growth in materials demand than the wafer start growth – The BOM component of semiconductor sales will increase over the next 5 years • Photoresist and Ancillaries will show segment growth of 15%, higher than other major segments, and higher than the wafer start growth. • Vapor deposition will continue to displace physical deposition, driving growth of ALD and CVD materials. SEE BEYOND THE HORIZON
  • 18. www.linx-consulting.com 617.273.8837• 973.698.2331 18 Industry Structure, 2014 0 10,000 20,000 30,000 40,000 >1 1 0.8 0.5 0.35 0.25 0.18 0.13 0.045 0.032 0.09 0.065 DRAM NAND NOR Adv Logic Logic Analog Discrete Notes: 1. Bottom axis is on a percentage basis 2. Size of box is proportional to # wafer starts 3. Source: Semico and Linx estimates The new “Silicon Valley” Business model changes required / desirable? Materials innovations required WSPY, K Broadband i-line 248nm 193/193i SEE BEYOND THE HORIZON
  • 20. www.linx-consulting.com 617.273.8837• 973.698.2331 20 300mm Production US Europe S’Pore China Taiwan Korea Japan Memory Foundry Logic Where are the Materials Suppliers? SEE BEYOND THE HORIZON
  • 21. www.linx-consulting.com 617.273.8837• 973.698.2331 21 Little Consolidation in Chemicals However, the equipment producers have begun a recent round of consolidation with: • Applied Materials acquiring Semitool and Varian • Tokyo Electron acquiring Nexx Systems and Oerlikon • Lam Research acquiring Novellus Many indications are that this may be to align each companies’ portfolio for through silicon via (TSV) Herfindahl Index example in CMP slurries. There has been little consolidation in the supply of electronic chemicals. Consolidation is happening at the end user level in semi and LCD • Micron will likely acquire Elpida • Formation of Japan Display – Sony, Toshiba, Hitachi in FPD Electronic Chemicals Fab Equipment Device Producers SEE BEYOND THE HORIZON
  • 22. www.linx-consulting.com 617.273.8837• 973.698.2331 22 The Quality Journey • Quality Improvement – Increasing number of metals and elements in CofA • 8 to 24 – Increased Sensitivity • ppm -> ppb -> ppt • Inorganic chemicals regularly specified at ppt levels – Function specifications becoming more specific • Resolution, DOF EL, line collapse, profile, adhesion, footing, toploss, LER, LWR • Selective etch rates • Polish rates, defectivity, dishing • Service Improvement – Beyond SPC – Ship to stock qualification • Sub-Supplier Monitoring – Materials component supply analysis – Materials fingerprinting SEE BEYOND THE HORIZON
  • 23. www.linx-consulting.com 617.273.8837• 973.698.2331 23 Advanced Materials Learning/Characterization • Well controlled manufacturing at the supplier leads to product qualification • Changed process at the sub- supplier changes end product performance • Process audit located the change, and was rectified at cost of time and product Sourcing Reaction Purification Packaging Shipping Receiving Reaction Formulation Purification Application Test QC Distillation Shipping QC SupplierSub Supplier Sub Supplier Purification • Sub-supplier process mapping during product development • Beyond CofA material fingerprinting • Understand and Control variation SEE BEYOND THE HORIZON
  • 25. www.linx-consulting.com 617.273.8837• 973.698.2331 25 Directed Self Assembly • DSA represents a possible resolution extension for ArFi: – Segregating Block Co-polymers form reduced pitch alternating polymer films with selective etch properties. – Pattern transfer follows traditional routes. • DSA is being pursued by multiple companies. • DSA can be implemented with optical, e-beam, imprint or EUV exposure. • Defect levels remain high, but have been reduced to levels that indicate production capability. SEE BEYOND THE HORIZON
  • 26. www.linx-consulting.com 617.273.8837• 973.698.2331 26 TSV Scenarios – More Than Moore Category 2010 - 2015 2016 - 2020 2021 - 2025 DRAM LOGIC NAND Source: HMCC MemoryCube Stacked DRAM HybridCube Consolidate address logic on one device SystemCube Package system components vertically SEE BEYOND THE HORIZON
  • 27. www.linx-consulting.com 617.273.8837• 973.698.2331 27 Conclusions • Growth returns in 2013. • A high upside potential remains in specialty materials for Semiconductors – This is offset by significant R&D requirements – HKMG, FinFETs, FDSOI, 3D-NAND and STT-MRAM development programs are already well advanced, although challenges remain at 22nm and below. – Now is the time to place bets for the next generation architectures. • More Moore has significant implications for both equipment and materials suppliers – 450mm is driven by fab economics, not materials markets. Prepare for deployment over the next 5 years. – 450mm will probably slow materials market growth. • EUV is needed ASAP to mitigate process complexity and keep wafer cost low. Challenges of source power, and resist performance continue to slow implementation. • Materials demand grows faster than Semiconductor Unit growth due to process complexity. – Patterning, CVD and ALD, and CMP all drive materials demand growth. • 3D Packaging and TSV processing is a key area for focus over the next 5 years. SEE BEYOND THE HORIZON

Hinweis der Redaktion

  1. For a Foundry running 110,000 waf / mo in 2016Increased Cost $8.5 Bn / yrTSMC sales in 2011 were 14Bn