SlideShare ist ein Scribd-Unternehmen logo
1 von 13
Downloaden Sie, um offline zu lesen
Verifying Power Domains in AeroFONE®
Subrata Roy
Senior Design Engr, Wireless
10/23/06
www.silabs.com
2
Silicon Labs Portfolio
Products
8-bit, 8051,
Mixed-Signal MCUs
Fixed-Function
solutions
Products
FM Tuners
SiRX™ STB Receiver
XM Satellite Receiver
Products
Modem
Voice
Power
Timing
Products
Aero® Transceivers
AeroFONE®
Power Amplifier
RF Synthesizers
Core Capability
System on a Chip
Core Capability
Tuner and Demod
Integration
Core Capability
PLL and High
Voltage Expertise
Core Capability
RF design in
CMOS
MCUBroadcastWirelineWireless
3
AeroFONE® based Design
Si4700 FM
Tuner
Si4300T Power
Amplifier
Si4905 AeroFONE:
TX, ABB, DBB, PMU,
Battery Charging
Circuitry
CP2102 USB to
UART Bridge
4
AeroFONE® Power domains
♦ Motivations for Multiple Power Domains
Power Saving : power what you need
Power Saving : power as much as you need;
Voltage scaling for different operating modes
Backbias RAM, powerdown ROM
Different voltages needed by the function
Noise isolation
♦ Voltage Regulators
Vpermanent
Vgpio
Vext_memory
Vcore (Linear regulator, DCDC regulator)
Vanalog
VRF
Vcustom_digital1
Vcustom_digital2
5
Power Control Feedback loop
Voltage
Regulators
Power
domains
Battery
♦ The chip controls its power
♦ Make sure it is not stuck in a bad state; e.g., waiting for input through an
un-powered path while powering-up
control status
P_ctl
Vdd
6
Power Verification-1
♦ Specify
Map blocks to Power domain
Reset & clocks
Voltage modes, dynamic operating modes (load current)
input power domains, output power domains
pre-power domains : domains powered up before this block
post-power domains: domains powered after this block is powered
up
♦ Design :
RTL: signal connectivity
Interface Cells between power domains (level shifters, logic & noise
isolators) have explicit supply pins; these cells are custom designed
Analog components have explicit supply pins
7
Power Verification-2
♦ Static Verification: Checks conditions independent of
stimulus; assuming specified constraints
all inputs of the domain are defined
Every domain can be reset at power up
Correct level shifting
All outputs to post-power domains are at 0 during ramp-up
Lot of painful scripting & reviews
♦ Dynamic Verification : functional operation of the device
exercising different power domains & power modes
All possible power up sequences
All possible sequences of power modes
Use AMS methodology
8
Assertions
♦ Check that the system is always in valid system power
states
♦ Check that a transition from 1 valid system power state to
another valid system power state satisfies all necessary
conditions
♦ Examples
If Vext_memory is in low power mode then no access to memory
If Vgpio is in low power mode then no access to gpio pins except for
some keypad pins
9
Power Verification-3
♦ Power Goals
♦ Design Level: Characterize Power usage of different blocks
in different modes
♦ System Level: Use information from Power Characterization
to build system level power models
Example: DRX2 : 8 slots of p1-mode, 2 slots of p2-mode out of 816
slots
10
Modeling
♦ The quality of dynamic verification depends on modeling
♦ Interface Cells: level shift, logic & noise isolators
Voltage levels of power supply pins are modeled in Verilog-AMS
Any error in voltage levels is indicated by driving X to logic signals as
well as global error flags
Lump any effect of the digital load to the Voltage signals of the
interface cell
♦ All registers/outputs in a un-powered domain are forced to X
♦ The impact of power(vdd) on signals connected to an
interface cell are modeled within the Verilog-AMS model of
the interface cell
♦ Voltage Regulators
Model impact of controls signals on voltage outputs
Focus is on modeling the loop between Digital & analog domain
feedback loops within the analog are ignored
11
Interface Cells- AMS model
♦ New disciplines are defined for hv/lv logic in AMS
♦ AMS connectrules define the electrical equivalent of
logic_hv/lv
♦ AMS automatically inserts connectors based on type
Logic_hv Logic_lv
LS_12.Cell
AMS-model
vdd2vdd1
logic_hv_to_electrical connector
electrical_to_logic_lv connector
12
Summary
♦ Static Verification is well defined but requires lot of adhoc
scripting – standardization will have big impact here
♦ Dynamic verification
Better modeling of effects of system power states using AMS
For unmodeled effects we use constraints to restrict digital behavior
Example: Vgpio low power mode only allows access through some
kepad pins
Large number of combinations of power states and their sequencing
7 Regulators
3 power on events (power on key, RTC alarm, Charger insertion)
Some regulators completely hardware controlled (configured by input
pins); some are software controlled
Based on ordering of input events and subsequent software control there
are many possible sequences
13
Wish List
♦ Efficient way to model effects of power modes/states
♦ Extension of our current modeling language (Verilog) but
more efficient than AMS
♦ Some Objections
different instances have different power contexts,
keep power information seperate from design
language constructs can address these issues (e.g., parameters,
vunit binding in systemverllog)

Weitere ähnliche Inhalte

Was ist angesagt?

OPAL-RT Webinar - MMC RCP HIL Solutions
OPAL-RT Webinar - MMC RCP HIL SolutionsOPAL-RT Webinar - MMC RCP HIL Solutions
OPAL-RT Webinar - MMC RCP HIL SolutionsOPAL-RT TECHNOLOGIES
 
3 Phase Power Factor Correction (PFC)
3 Phase Power Factor Correction (PFC)3 Phase Power Factor Correction (PFC)
3 Phase Power Factor Correction (PFC)anusheel nahar
 
MPC of TWT based Transmitter
MPC of TWT based TransmitterMPC of TWT based Transmitter
MPC of TWT based TransmitterAbhishek Sutrave
 
7SK81 Motor Protection Relay
7SK81 Motor Protection Relay7SK81 Motor Protection Relay
7SK81 Motor Protection Relayashwini reliserv
 
Arm cortex ( lpc 2148 ) based motor speed control
Arm cortex ( lpc 2148 ) based motor speed control Arm cortex ( lpc 2148 ) based motor speed control
Arm cortex ( lpc 2148 ) based motor speed control Uday Wankar
 
An Integrated PFC Rectifier In CCM By Using DSP Controller With Reduced Harmo...
An Integrated PFC Rectifier In CCM By Using DSP Controller With Reduced Harmo...An Integrated PFC Rectifier In CCM By Using DSP Controller With Reduced Harmo...
An Integrated PFC Rectifier In CCM By Using DSP Controller With Reduced Harmo...mkanth
 
LTC4263 - PSE Controller with Internal Switch
LTC4263 - PSE Controller with Internal SwitchLTC4263 - PSE Controller with Internal Switch
LTC4263 - PSE Controller with Internal SwitchPremier Farnell
 
Fpga based motor controller
Fpga based motor controllerFpga based motor controller
Fpga based motor controllerUday Wankar
 
Pms System Training
Pms System TrainingPms System Training
Pms System Trainingvkmalik
 
2.4G Radio Transceiver for IEEE802.15.4 and ZigBee Applications
2.4G Radio Transceiver for IEEE802.15.4 and ZigBee Applications2.4G Radio Transceiver for IEEE802.15.4 and ZigBee Applications
2.4G Radio Transceiver for IEEE802.15.4 and ZigBee ApplicationsPremier Farnell
 
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...Pradeep Avanigadda
 
fault detection of transformer using GSM,,,,by YASASWINI.KAGITHALA
fault detection of transformer using GSM,,,,by YASASWINI.KAGITHALAfault detection of transformer using GSM,,,,by YASASWINI.KAGITHALA
fault detection of transformer using GSM,,,,by YASASWINI.KAGITHALAMAHESH294
 
project report on plc based load sharing
project report on plc based load sharingproject report on plc based load sharing
project report on plc based load sharingVivek Arun
 
Design and Implementation of Multi-Axis Programmable Stage Controller with Ge...
Design and Implementation of Multi-Axis Programmable Stage Controller with Ge...Design and Implementation of Multi-Axis Programmable Stage Controller with Ge...
Design and Implementation of Multi-Axis Programmable Stage Controller with Ge...Vijayakumar Krishnakumar
 
Plc ( programable logic controllers)
Plc ( programable logic controllers)Plc ( programable logic controllers)
Plc ( programable logic controllers)masoommehsoud1
 

Was ist angesagt? (20)

A
AA
A
 
OPAL-RT Webinar - MMC RCP HIL Solutions
OPAL-RT Webinar - MMC RCP HIL SolutionsOPAL-RT Webinar - MMC RCP HIL Solutions
OPAL-RT Webinar - MMC RCP HIL Solutions
 
3 Phase Power Factor Correction (PFC)
3 Phase Power Factor Correction (PFC)3 Phase Power Factor Correction (PFC)
3 Phase Power Factor Correction (PFC)
 
MPC of TWT based Transmitter
MPC of TWT based TransmitterMPC of TWT based Transmitter
MPC of TWT based Transmitter
 
Fast dormancy handling
Fast dormancy handlingFast dormancy handling
Fast dormancy handling
 
7SK81 Motor Protection Relay
7SK81 Motor Protection Relay7SK81 Motor Protection Relay
7SK81 Motor Protection Relay
 
Arm cortex ( lpc 2148 ) based motor speed control
Arm cortex ( lpc 2148 ) based motor speed control Arm cortex ( lpc 2148 ) based motor speed control
Arm cortex ( lpc 2148 ) based motor speed control
 
An Integrated PFC Rectifier In CCM By Using DSP Controller With Reduced Harmo...
An Integrated PFC Rectifier In CCM By Using DSP Controller With Reduced Harmo...An Integrated PFC Rectifier In CCM By Using DSP Controller With Reduced Harmo...
An Integrated PFC Rectifier In CCM By Using DSP Controller With Reduced Harmo...
 
LTC4263 - PSE Controller with Internal Switch
LTC4263 - PSE Controller with Internal SwitchLTC4263 - PSE Controller with Internal Switch
LTC4263 - PSE Controller with Internal Switch
 
Plc
PlcPlc
Plc
 
Fpga based motor controller
Fpga based motor controllerFpga based motor controller
Fpga based motor controller
 
Pms System Training
Pms System TrainingPms System Training
Pms System Training
 
Abhishek seminar
Abhishek seminarAbhishek seminar
Abhishek seminar
 
2.4G Radio Transceiver for IEEE802.15.4 and ZigBee Applications
2.4G Radio Transceiver for IEEE802.15.4 and ZigBee Applications2.4G Radio Transceiver for IEEE802.15.4 and ZigBee Applications
2.4G Radio Transceiver for IEEE802.15.4 and ZigBee Applications
 
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
 
Principle of rf generation
Principle of rf generationPrinciple of rf generation
Principle of rf generation
 
fault detection of transformer using GSM,,,,by YASASWINI.KAGITHALA
fault detection of transformer using GSM,,,,by YASASWINI.KAGITHALAfault detection of transformer using GSM,,,,by YASASWINI.KAGITHALA
fault detection of transformer using GSM,,,,by YASASWINI.KAGITHALA
 
project report on plc based load sharing
project report on plc based load sharingproject report on plc based load sharing
project report on plc based load sharing
 
Design and Implementation of Multi-Axis Programmable Stage Controller with Ge...
Design and Implementation of Multi-Axis Programmable Stage Controller with Ge...Design and Implementation of Multi-Axis Programmable Stage Controller with Ge...
Design and Implementation of Multi-Axis Programmable Stage Controller with Ge...
 
Plc ( programable logic controllers)
Plc ( programable logic controllers)Plc ( programable logic controllers)
Plc ( programable logic controllers)
 

Andere mochten auch

Validation Missteps Making Us Full Time Firefighters
Validation Missteps Making Us Full Time Firefighters Validation Missteps Making Us Full Time Firefighters
Validation Missteps Making Us Full Time Firefighters DVClub
 
Zilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal VerificationZilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal VerificationDVClub
 
The Validation Attitude
The Validation AttitudeThe Validation Attitude
The Validation AttitudeDVClub
 
Does Design Verification Have a Future?
Does Design Verification Have a Future?Does Design Verification Have a Future?
Does Design Verification Have a Future?DVClub
 
Testbench Linter: Automated Rule Checker Framework for Testbenches
Testbench Linter: Automated Rule Checker Framework for TestbenchesTestbench Linter: Automated Rule Checker Framework for Testbenches
Testbench Linter: Automated Rule Checker Framework for TestbenchesDVClub
 
TLM Based Software Control of UVCs for Vertical Verification Reuse
TLM Based Software Control of UVCs for Vertical Verification ReuseTLM Based Software Control of UVCs for Vertical Verification Reuse
TLM Based Software Control of UVCs for Vertical Verification ReuseDVClub
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design CommunityDVClub
 

Andere mochten auch (7)

Validation Missteps Making Us Full Time Firefighters
Validation Missteps Making Us Full Time Firefighters Validation Missteps Making Us Full Time Firefighters
Validation Missteps Making Us Full Time Firefighters
 
Zilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal VerificationZilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal Verification
 
The Validation Attitude
The Validation AttitudeThe Validation Attitude
The Validation Attitude
 
Does Design Verification Have a Future?
Does Design Verification Have a Future?Does Design Verification Have a Future?
Does Design Verification Have a Future?
 
Testbench Linter: Automated Rule Checker Framework for Testbenches
Testbench Linter: Automated Rule Checker Framework for TestbenchesTestbench Linter: Automated Rule Checker Framework for Testbenches
Testbench Linter: Automated Rule Checker Framework for Testbenches
 
TLM Based Software Control of UVCs for Vertical Verification Reuse
TLM Based Software Control of UVCs for Vertical Verification ReuseTLM Based Software Control of UVCs for Vertical Verification Reuse
TLM Based Software Control of UVCs for Vertical Verification Reuse
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
 

Ähnlich wie Verifying Power Domains in AeroFONE

Automatic power factor controller by microcontroller
Automatic power factor controller by microcontrollerAutomatic power factor controller by microcontroller
Automatic power factor controller by microcontrollerSanket Shitole
 
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...OPAL-RT TECHNOLOGIES
 
power grid synchronization failure detection
power grid synchronization failure detectionpower grid synchronization failure detection
power grid synchronization failure detectionJay Hind
 
JVL AC Servo Motor Controllers AMC20, AMC21 and AMC22
JVL AC Servo Motor Controllers AMC20, AMC21 and AMC22JVL AC Servo Motor Controllers AMC20, AMC21 and AMC22
JVL AC Servo Motor Controllers AMC20, AMC21 and AMC22Electromate
 
Understanding the Reliability and Power-Efficiency Trade-offs of Modern FPGAs...
Understanding the Reliability and Power-Efficiency Trade-offs of Modern FPGAs...Understanding the Reliability and Power-Efficiency Trade-offs of Modern FPGAs...
Understanding the Reliability and Power-Efficiency Trade-offs of Modern FPGAs...Behzad Salami
 
AUTOMATIC GRID ON SENSING BAD VOLTAGE OR FREQUENCY
AUTOMATIC GRID ON SENSING BAD VOLTAGE OR FREQUENCYAUTOMATIC GRID ON SENSING BAD VOLTAGE OR FREQUENCY
AUTOMATIC GRID ON SENSING BAD VOLTAGE OR FREQUENCYGaurav Singh
 
File 1389427052
File 1389427052File 1389427052
File 1389427052Aman_preet
 
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...Behzad Salami
 
Rapid Control Prototyping Solutions
Rapid Control Prototyping SolutionsRapid Control Prototyping Solutions
Rapid Control Prototyping SolutionsOPAL-RT TECHNOLOGIES
 
PowerXR Programmable Power Technology
PowerXR  Programmable Power TechnologyPowerXR  Programmable Power Technology
PowerXR Programmable Power TechnologyPremier Farnell
 
Cockpit White Box
Cockpit White BoxCockpit White Box
Cockpit White Boxncct
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2SUNODH GARLAPATI
 
MC9S08MP16: 8-bit MCU For BLDC Motor Control
MC9S08MP16: 8-bit MCU For BLDC Motor ControlMC9S08MP16: 8-bit MCU For BLDC Motor Control
MC9S08MP16: 8-bit MCU For BLDC Motor ControlPremier Farnell
 
An Introduction to very low power 16-bit Digital Signal Controllers
An Introduction to very low power 16-bit Digital Signal Controllers An Introduction to very low power 16-bit Digital Signal Controllers
An Introduction to very low power 16-bit Digital Signal Controllers Premier Farnell
 
Analysis & Performance of Operational Transconductance Amplifier at 180nm Tec...
Analysis & Performance of Operational Transconductance Amplifier at 180nm Tec...Analysis & Performance of Operational Transconductance Amplifier at 180nm Tec...
Analysis & Performance of Operational Transconductance Amplifier at 180nm Tec...IRJET Journal
 
underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps Mohd Sohail
 
under grund fault ppt (1).pptx
under grund fault ppt (1).pptxunder grund fault ppt (1).pptx
under grund fault ppt (1).pptxPoojaG86
 

Ähnlich wie Verifying Power Domains in AeroFONE (20)

Automatic power factor controller by microcontroller
Automatic power factor controller by microcontrollerAutomatic power factor controller by microcontroller
Automatic power factor controller by microcontroller
 
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
 
power grid synchronization failure detection
power grid synchronization failure detectionpower grid synchronization failure detection
power grid synchronization failure detection
 
JVL AC Servo Motor Controllers AMC20, AMC21 and AMC22
JVL AC Servo Motor Controllers AMC20, AMC21 and AMC22JVL AC Servo Motor Controllers AMC20, AMC21 and AMC22
JVL AC Servo Motor Controllers AMC20, AMC21 and AMC22
 
Understanding the Reliability and Power-Efficiency Trade-offs of Modern FPGAs...
Understanding the Reliability and Power-Efficiency Trade-offs of Modern FPGAs...Understanding the Reliability and Power-Efficiency Trade-offs of Modern FPGAs...
Understanding the Reliability and Power-Efficiency Trade-offs of Modern FPGAs...
 
AUTOMATIC GRID ON SENSING BAD VOLTAGE OR FREQUENCY
AUTOMATIC GRID ON SENSING BAD VOLTAGE OR FREQUENCYAUTOMATIC GRID ON SENSING BAD VOLTAGE OR FREQUENCY
AUTOMATIC GRID ON SENSING BAD VOLTAGE OR FREQUENCY
 
File 1389427052
File 1389427052File 1389427052
File 1389427052
 
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
 
Rapid Control Prototyping Solutions
Rapid Control Prototyping SolutionsRapid Control Prototyping Solutions
Rapid Control Prototyping Solutions
 
PowerXR Programmable Power Technology
PowerXR  Programmable Power TechnologyPowerXR  Programmable Power Technology
PowerXR Programmable Power Technology
 
Cockpit White Box
Cockpit White BoxCockpit White Box
Cockpit White Box
 
Arm7 architecture
Arm7 architectureArm7 architecture
Arm7 architecture
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
MC9S08MP16: 8-bit MCU For BLDC Motor Control
MC9S08MP16: 8-bit MCU For BLDC Motor ControlMC9S08MP16: 8-bit MCU For BLDC Motor Control
MC9S08MP16: 8-bit MCU For BLDC Motor Control
 
An Introduction to very low power 16-bit Digital Signal Controllers
An Introduction to very low power 16-bit Digital Signal Controllers An Introduction to very low power 16-bit Digital Signal Controllers
An Introduction to very low power 16-bit Digital Signal Controllers
 
Analysis & Performance of Operational Transconductance Amplifier at 180nm Tec...
Analysis & Performance of Operational Transconductance Amplifier at 180nm Tec...Analysis & Performance of Operational Transconductance Amplifier at 180nm Tec...
Analysis & Performance of Operational Transconductance Amplifier at 180nm Tec...
 
Power System Structure
Power System StructurePower System Structure
Power System Structure
 
underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps
 
under grund fault ppt (1).pptx
under grund fault ppt (1).pptxunder grund fault ppt (1).pptx
under grund fault ppt (1).pptx
 
File 1389425159
File 1389425159File 1389425159
File 1389425159
 

Mehr von DVClub

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseDVClub
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment OverviewDVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesDVClub
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)DVClub
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyDVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUsDVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACTDVClub
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentDVClub
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal ValidationDVClub
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemCDVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-ExpressDVClub
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessDVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through MethodologyDVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationDVClub
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 ProcessorDVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceDVClub
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS VerificationDVClub
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and VerificationDVClub
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register PackageDVClub
 

Mehr von DVClub (20)

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
 

Kürzlich hochgeladen

Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 

Kürzlich hochgeladen (20)

Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 

Verifying Power Domains in AeroFONE

  • 1. Verifying Power Domains in AeroFONE® Subrata Roy Senior Design Engr, Wireless 10/23/06 www.silabs.com
  • 2. 2 Silicon Labs Portfolio Products 8-bit, 8051, Mixed-Signal MCUs Fixed-Function solutions Products FM Tuners SiRX™ STB Receiver XM Satellite Receiver Products Modem Voice Power Timing Products Aero® Transceivers AeroFONE® Power Amplifier RF Synthesizers Core Capability System on a Chip Core Capability Tuner and Demod Integration Core Capability PLL and High Voltage Expertise Core Capability RF design in CMOS MCUBroadcastWirelineWireless
  • 3. 3 AeroFONE® based Design Si4700 FM Tuner Si4300T Power Amplifier Si4905 AeroFONE: TX, ABB, DBB, PMU, Battery Charging Circuitry CP2102 USB to UART Bridge
  • 4. 4 AeroFONE® Power domains ♦ Motivations for Multiple Power Domains Power Saving : power what you need Power Saving : power as much as you need; Voltage scaling for different operating modes Backbias RAM, powerdown ROM Different voltages needed by the function Noise isolation ♦ Voltage Regulators Vpermanent Vgpio Vext_memory Vcore (Linear regulator, DCDC regulator) Vanalog VRF Vcustom_digital1 Vcustom_digital2
  • 5. 5 Power Control Feedback loop Voltage Regulators Power domains Battery ♦ The chip controls its power ♦ Make sure it is not stuck in a bad state; e.g., waiting for input through an un-powered path while powering-up control status P_ctl Vdd
  • 6. 6 Power Verification-1 ♦ Specify Map blocks to Power domain Reset & clocks Voltage modes, dynamic operating modes (load current) input power domains, output power domains pre-power domains : domains powered up before this block post-power domains: domains powered after this block is powered up ♦ Design : RTL: signal connectivity Interface Cells between power domains (level shifters, logic & noise isolators) have explicit supply pins; these cells are custom designed Analog components have explicit supply pins
  • 7. 7 Power Verification-2 ♦ Static Verification: Checks conditions independent of stimulus; assuming specified constraints all inputs of the domain are defined Every domain can be reset at power up Correct level shifting All outputs to post-power domains are at 0 during ramp-up Lot of painful scripting & reviews ♦ Dynamic Verification : functional operation of the device exercising different power domains & power modes All possible power up sequences All possible sequences of power modes Use AMS methodology
  • 8. 8 Assertions ♦ Check that the system is always in valid system power states ♦ Check that a transition from 1 valid system power state to another valid system power state satisfies all necessary conditions ♦ Examples If Vext_memory is in low power mode then no access to memory If Vgpio is in low power mode then no access to gpio pins except for some keypad pins
  • 9. 9 Power Verification-3 ♦ Power Goals ♦ Design Level: Characterize Power usage of different blocks in different modes ♦ System Level: Use information from Power Characterization to build system level power models Example: DRX2 : 8 slots of p1-mode, 2 slots of p2-mode out of 816 slots
  • 10. 10 Modeling ♦ The quality of dynamic verification depends on modeling ♦ Interface Cells: level shift, logic & noise isolators Voltage levels of power supply pins are modeled in Verilog-AMS Any error in voltage levels is indicated by driving X to logic signals as well as global error flags Lump any effect of the digital load to the Voltage signals of the interface cell ♦ All registers/outputs in a un-powered domain are forced to X ♦ The impact of power(vdd) on signals connected to an interface cell are modeled within the Verilog-AMS model of the interface cell ♦ Voltage Regulators Model impact of controls signals on voltage outputs Focus is on modeling the loop between Digital & analog domain feedback loops within the analog are ignored
  • 11. 11 Interface Cells- AMS model ♦ New disciplines are defined for hv/lv logic in AMS ♦ AMS connectrules define the electrical equivalent of logic_hv/lv ♦ AMS automatically inserts connectors based on type Logic_hv Logic_lv LS_12.Cell AMS-model vdd2vdd1 logic_hv_to_electrical connector electrical_to_logic_lv connector
  • 12. 12 Summary ♦ Static Verification is well defined but requires lot of adhoc scripting – standardization will have big impact here ♦ Dynamic verification Better modeling of effects of system power states using AMS For unmodeled effects we use constraints to restrict digital behavior Example: Vgpio low power mode only allows access through some kepad pins Large number of combinations of power states and their sequencing 7 Regulators 3 power on events (power on key, RTC alarm, Charger insertion) Some regulators completely hardware controlled (configured by input pins); some are software controlled Based on ordering of input events and subsequent software control there are many possible sequences
  • 13. 13 Wish List ♦ Efficient way to model effects of power modes/states ♦ Extension of our current modeling language (Verilog) but more efficient than AMS ♦ Some Objections different instances have different power contexts, keep power information seperate from design language constructs can address these issues (e.g., parameters, vunit binding in systemverllog)