SlideShare ist ein Scribd-Unternehmen logo
1 von 12
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
ฟังก์ชันมาตรฐานภาษาซี
ฟังก์ชันในภาษาซีแบ่งตามที่มาของฟังก์ชันแบ่งได้เป็น 2 ประเภทคือ
1.ฟังก์ชันทีผู้เขียนโปรแกรมสร้างขึ้นเอง (User-defined Function)
เป็นฟังก์ชันหรือโปรแกรมย่อยที่ผู้ใช้สร้างขึ้นมาใช้ในการเขียนโปรแกรมเพื่อทํางานอย่างใด
อย่างหนึ่ง
2. ฟังก์ชันมาตรฐาน (Standard Function) เป็นฟังก์ชันที่ถูกสร้างขึ้นและ เก็บไว้
ในไลบรารี่ ในการใช้งานเราต้องเรียกใช้ include directives เพื่อเรียก header
file ขึ้นมาก่อนจงจะสามารถใช้งานฟังก์ชันนั้นได้
ฟังก์ชันที่ผู้เขียนโปรแกรมสร้างขึ้นเอง
โปรแกรมย่อยเป็นวิธีเขียนโปรแกรมที่ต้องการแยกระบบงานเป็นส่วน
เช่น ระบบงาน เป็นระเบียบเพราะแบ่งเป็นส่วนงาน สามารถสร้างทีมงานช่วย
พัฒนาระบบได้ เมื่อเกิด ข้อผิดพลาดจุดใด สามารถแก้ไขได้แทนที่
โครงสร้างของฟังก์ชัน
type function_name(type1 arg1, type2 arg2,.., typeN argN) { local variable
declaration; statement(s); return( varlue); }
คําอธิบายโปรแกรม
จากโปรแกรม สามารถอธิบายการทํางานของโปรแกรมที่สําคัญๆ ได้ดังนี้บรรทัดที 3
และ 4 คําสั่ง void one(void); และ void two(void); คําสั่งประกาศชื่อ ฟังก์
ชนและชนิดของการส่งค่ากลับมายังฟังก์ชัน ซึ่งในกรณีนี้ทั้งฟังก์ชัน one( ) และ two(
) เป็นฟังก์ชันชนิดทีไม่มีการส่งค่าไปและรับค่ากลับ เนื่องจากคําว่า void ทีอยู่หน้าชื่อ
ฟังก์ชัน one( ) และ two( ) เป็นการบอกว่าไม่มีการรับค่าทีส่งกลับ ส่วนคําว่า void
ทีอยู่ภายใน ( ) ของฟังก์ชัน one( ) และ two เป็นการบอกว่าไม่มี argument นั้น
คือไม่มีการส่งค่าไปนั้นเอง ข้อสังเกต การประกาศชื่อและชนิดของฟังก์ชันจะต้องประกาศ
ไว้ก่อนฟังก์ชัน main( )
คําอธิบายโปรแกรม
เพราะจะทําให้เราสามารถใช้ฟังก์ชันทีประกาศไว้ในส่วนใดของโปรแกรมก็ได้
บรรทัดที 8 และ 9 คําสั่ง one( ); และ two( ); เป็นการเรียกใช้ฟังก์ชันชื่อ
one( ) และ two( ) ตามลําดับ โดยฟังก์ชัน one( ) อยู่ที่คําสั่งบรรทัดที 14
ถึง 18 และ ฟังก์ชัน two( ) อยู่ที่20 ถึง 25 บรรทัดที 14 ถึง 18 ฟังก์ชัน one( )
ให้พิมพ์ค่าทีเก็บไว้ในตัวแปร a และ b แสดงที่จอภาพ บรรทัดที 20 ถึง 25 ฟังก์ชัน
two( ) ให้พิมพ์คําที่เก็บไว้ในตัวแปร p และ q และพิมพ์ค่าตัวแปร q แสดงที
จอภาพ บรรทัดที่10 และ 11 ภายหลังจากทํางานตามฟังก์ชัน one( ) และ two(
) แล้ว พิมพ์ขอความให้ กดคีย์ใด ๆ เพื่อกลับสูโปรแกรม และหยุดรอรับค่าใด ๆ เช่น
กด enter จะกลับเข้าสู่โปรแกรม
ฟังก์ชันมาตรฐาน
ในการเขียนโปรแกรมคอมพิวเตอร์ บ่อยครั้งจะต้องมีชุดคําสั่งบางชุดทีจะต้อง
ถูกทํางานบ่อยๆ ถ้าหากโปรแกรมต้องทําชุดคําสั่งเหล่านั้นอีกครั้งผู้เขียนโปรแกรมจะต้อง
เขียนชุดคําสั่งชุดเดิมใหม่อกครั้งทําให้ โปรแกรมมีขนาดใหญ่ขึ้น แต่เราสามารถนํา
ชุดคําสั่งทีจะต้องถูกใช้งานบ่อย ๆ มารวม เป็นฟังก์ชันได้ แล้วจึงเรียกใช้ชื่อฟังก์ชันแทน
การทีจะต้องเขียน ชุดคําสั่งนั้นใหม่อีกครั้ง
โครงสร้างของฟังก์ชันการสร้างฟังก์ชันทั้งฟังก์ชันมาตรฐานและฟังก์ชันที่ผู้ใช้สร้างขึ้นมี
รูปแบบโครงสร้างดังนี้
โปรแกรมย่อย
ฟังก์ชันที่เขียนขึ้นเองใหม่เพื่อให้ทํางานตามต้องการนิยมเขียนเพื่อทํางานอย่างใดอย่าง
หนึ่งสามารถเรียกใช้ฟังก์ชันนี้หลายๆ ที่ได้
ประโยชน์
ทําให้ทั้งโปรแกรมมีโครงสร้างทีดี กะทัดรัด เข้าใจง่ายง่ายต่อการทดสอบและแก้ไข นํา
กลับมาใช้ง่ายและรวดเร็ว
ในการเขียนฟังก์ชันขึ้นมาใช้งานอย่างใดอย่างหนึ่ง เราสามารถจําแนกฟังก์ชันที่เขียนขึ้น
ตามลักษณะการส่งค่าไปและรับค่ากลับได้ 3 แบบ คือ
1. ฟังก์ชันที่ไม่มีการส่งค่าไปและรับค่ากลับ
2.ฟังก์ชันที่มีการส่งค่าไปแต่ไม่มีรับค่ากลับ
3.ฟังก์ชันที่มีทั้งการส่งค่าไปและรับค่ากลับ
ซึ่งฟังก์ชันแต่ละแบบก็เหมาะกับงานแต่ละอย่าง ดังนั้นผู้เขียนฟังก์ชันจึง
จาเป็นที่จะต้องศึกษาทาความเข้าใจฟังก์ชันแต่ละแบบ เพื่อจะได้มาประยุกต์ใช้กับ
งานได้อย่างเหมาะสม
ข้อแนะนาในการเขียนโปรแกรมย่อย
กรณีเลือกวางโปรแกรมย่อยไว้หลังโปรแกรมหลัก ต้องประกาศชื่อโปรแกรมย่อย
ต่อ จาก #include เสมอ มิฉะนั้นจะเกิดข้อผิดพลาดได้ กรณีมีโปรแกรมย่อยหลาย
ส่วนงาน วางโปรแกรมย่อยไว้หลังโปรแกรมหลัก เพราะหลักการอ่านคาสั่งงานจะต้อง
อ่านในส่วนโปรแกรมหลักก่อน แล้วจึงโนยงไปทีโปรแกรม ย่อย หากมีโปรแกรมย่อย
จานวนมากจะดันโปรแกรมหลักไปอยู่ส่วนล่าง ทาให้เสียเวลา ค้นหาโปรแกรมหลัก
ฟังก์ชันทางคณิตศาสตร์
เป็นฟังก์ชันที่ใช้สําหรับการคํานวณทางคณิตศาสตร์ และก่อนที่จะใช้ฟังก์ชัน
ประเภทนี้ จะต้องใช้คําสั่ง #include <math.h> แทรกอยู่
ตอนต้นของโปรแกรม และตัวแปรที่จะใช้ฟังก์ชันประเภทนี้จะต้องมีชนิด
(type) เป็น double เนื่องจากผลลัพธ์ที่ได้จากฟังก์ชันประเภทนี้จะได้
ค่าส่งกลับของข้อมูลเป็น double
1) ฟังก์ชัน acos(x) เป็นฟังก์ชันที่ใช้คานวณหาค่า arc cosine ของ x
โดยที่ x เป็นค่ามุม ในหน่วยเรเดียน (radian) รูปแบบ acos(x);
2) ฟังก์ชัน asin(x) เป็นฟังก์ชันที่ใช้คานวณหาค่า arc sine ของ x โดยที่
x เป็นค่ามุมในหน่วยเรเดียน รูปแบบ asin(x);
3) ฟังก์ชัน atan(x) เป็นฟังก์ชันที่ใช้คานวณหาค่า arc tan ของ x โดยที่ x
เป็นค่ามุมในหน่วยเรเดียน รูปแบบ atan(x);
4) ฟังก์ชัน sin(x) เป็นฟังก์ชันที่ใช้คานวณหาค่า sine ของ x โดยที่ x เป็นค่า
มุมในหน่วยเรเดียน รูปแบบsin(x);
5) ฟังก์ชัน cos(x) เป็นฟังก์ชันที่ใช้คานวณหาค่า cosine ของ x โดยที่ x
เป็นค่ามุมในหน่วยเรเดียน รูปแบบ cos(x);
6) ฟังก์ชัน tan(x) เป็นฟังก์ชันที่ใช้คานวณหาค่า tan ของ x โดยที่ x เป็นค่า
มุมในหน่วยเรเดียน รูปแบบ tan(x);
จัดทําโดย
นายชานนท์ คันทะพล เลขที่ 6
นายเตชสิทธิ์ ดาบแก้ว เลขที่7
นายธนกร เอกทุ่งบัว เลขที่ 9
นายธีระ นาบารุง เลขที่10
นายอภิวัฒน์ อินหนู เลขที่13
นายวิศิษฏ์ มณีศรี เลขที่19

Weitere ähnliche Inhalte

Was ist angesagt?

ภาษาซี
ภาษาซีภาษาซี
ภาษาซีMorn Suwanno
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานWasin Kunnaphan
 
ส่งงาน Microsoft Visual Basic 6.0
ส่งงาน Microsoft Visual Basic 6.0ส่งงาน Microsoft Visual Basic 6.0
ส่งงาน Microsoft Visual Basic 6.0Bass Bass
 
ความรู้เบื้องต้นเกี่ยวกับ Visual basic 6.0
ความรู้เบื้องต้นเกี่ยวกับ Visual basic 6.0ความรู้เบื้องต้นเกี่ยวกับ Visual basic 6.0
ความรู้เบื้องต้นเกี่ยวกับ Visual basic 6.0พลอย จ้า
 
ความรู้เบื้องต้นเกี่ยวกับโปรแกรม Dreamweaver CS6
ความรู้เบื้องต้นเกี่ยวกับโปรแกรม Dreamweaver CS6ความรู้เบื้องต้นเกี่ยวกับโปรแกรม Dreamweaver CS6
ความรู้เบื้องต้นเกี่ยวกับโปรแกรม Dreamweaver CS6Khon Kaen University
 
คู่มือ Dreamwever 8
คู่มือ Dreamwever 8คู่มือ Dreamwever 8
คู่มือ Dreamwever 8duangnapa27
 
หน่วยการเรียนรู้ที่ 6 dw8
หน่วยการเรียนรู้ที่ 6 dw8หน่วยการเรียนรู้ที่ 6 dw8
หน่วยการเรียนรู้ที่ 6 dw8arachaporn
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน ม.6/2
โปรแกรมย่อยและฟังก์ชันมาตรฐาน ม.6/2 โปรแกรมย่อยและฟังก์ชันมาตรฐาน ม.6/2
โปรแกรมย่อยและฟังก์ชันมาตรฐาน ม.6/2 Wasin Kunnaphan
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานWasin Kunnaphan
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานWasin Kunnaphan
 
งานคอมกลุ่ม 6
งานคอมกลุ่ม 6งานคอมกลุ่ม 6
งานคอมกลุ่ม 6Meky Meky
 

Was ist angesagt? (16)

งานทำ Blog บทที่ 13
งานทำ Blog บทที่ 13งานทำ Blog บทที่ 13
งานทำ Blog บทที่ 13
 
ภาษาซี
ภาษาซีภาษาซี
ภาษาซี
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
 
คอม 1
คอม 1คอม 1
คอม 1
 
ส่งงาน Microsoft Visual Basic 6.0
ส่งงาน Microsoft Visual Basic 6.0ส่งงาน Microsoft Visual Basic 6.0
ส่งงาน Microsoft Visual Basic 6.0
 
ความรู้เบื้องต้นเกี่ยวกับ Visual basic 6.0
ความรู้เบื้องต้นเกี่ยวกับ Visual basic 6.0ความรู้เบื้องต้นเกี่ยวกับ Visual basic 6.0
ความรู้เบื้องต้นเกี่ยวกับ Visual basic 6.0
 
ความรู้เบื้องต้นเกี่ยวกับโปรแกรม Dreamweaver CS6
ความรู้เบื้องต้นเกี่ยวกับโปรแกรม Dreamweaver CS6ความรู้เบื้องต้นเกี่ยวกับโปรแกรม Dreamweaver CS6
ความรู้เบื้องต้นเกี่ยวกับโปรแกรม Dreamweaver CS6
 
คู่มือ Dreamwever 8
คู่มือ Dreamwever 8คู่มือ Dreamwever 8
คู่มือ Dreamwever 8
 
หน่วยการเรียนรู้ที่ 6 dw8
หน่วยการเรียนรู้ที่ 6 dw8หน่วยการเรียนรู้ที่ 6 dw8
หน่วยการเรียนรู้ที่ 6 dw8
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน ม.6/2
โปรแกรมย่อยและฟังก์ชันมาตรฐาน ม.6/2 โปรแกรมย่อยและฟังก์ชันมาตรฐาน ม.6/2
โปรแกรมย่อยและฟังก์ชันมาตรฐาน ม.6/2
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
 
งานคอมกลุ่ม 6
งานคอมกลุ่ม 6งานคอมกลุ่ม 6
งานคอมกลุ่ม 6
 
การเขียนโปรแกรมด้วยVb 6.0
การเขียนโปรแกรมด้วยVb 6.0การเขียนโปรแกรมด้วยVb 6.0
การเขียนโปรแกรมด้วยVb 6.0
 
บุญนภา วสันต์
บุญนภา วสันต์บุญนภา วสันต์
บุญนภา วสันต์
 
ยินดีนำเสนอ
ยินดีนำเสนอยินดีนำเสนอ
ยินดีนำเสนอ
 

Andere mochten auch

Andere mochten auch (8)

WCRE04.ppt
WCRE04.pptWCRE04.ppt
WCRE04.ppt
 
Slideshare
SlideshareSlideshare
Slideshare
 
Marquis half page vertical quill jan 2009
Marquis half page vertical quill jan 2009Marquis half page vertical quill jan 2009
Marquis half page vertical quill jan 2009
 
50 states
50 states50 states
50 states
 
Zend framework 2.0
Zend framework 2.0Zend framework 2.0
Zend framework 2.0
 
Careers in archaeology
Careers in archaeologyCareers in archaeology
Careers in archaeology
 
WCRE08.ppt
WCRE08.pptWCRE08.ppt
WCRE08.ppt
 
SCO Pilot Project Efforts to Integrate County PLSS Datasets - Timothy Kennedy
SCO Pilot Project Efforts to Integrate County PLSS Datasets - Timothy KennedySCO Pilot Project Efforts to Integrate County PLSS Datasets - Timothy Kennedy
SCO Pilot Project Efforts to Integrate County PLSS Datasets - Timothy Kennedy
 

Ähnlich wie โปรแกรมย่อยและฟังก์ชันมาตรฐาน

การสร้างงานโปรแกรม
การสร้างงานโปรแกรมการสร้างงานโปรแกรม
การสร้างงานโปรแกรมComputer ITSWKJ
 
โปรแกรมคอมพิวเตอร์
โปรแกรมคอมพิวเตอร์โปรแกรมคอมพิวเตอร์
โปรแกรมคอมพิวเตอร์Worapod Khomkham
 
โปรแกรมคอมพิวเตอร์
โปรแกรมคอมพิวเตอร์โปรแกรมคอมพิวเตอร์
โปรแกรมคอมพิวเตอร์Worapod Khomkham
 
องค์ประกอบของระบบคอมพิวเตอร์
องค์ประกอบของระบบคอมพิวเตอร์องค์ประกอบของระบบคอมพิวเตอร์
องค์ประกอบของระบบคอมพิวเตอร์Tonkaw Napassorn
 
องค์ประกอบของระบบคอมพิวเตอร์
องค์ประกอบของระบบคอมพิวเตอร์องค์ประกอบของระบบคอมพิวเตอร์
องค์ประกอบของระบบคอมพิวเตอร์Tonkaw Napassorn
 
ความหมายของโปรแกรมคอมพิวเตอร์
ความหมายของโปรแกรมคอมพิวเตอร์ความหมายของโปรแกรมคอมพิวเตอร์
ความหมายของโปรแกรมคอมพิวเตอร์Onrutai Intanin
 
หน่วยที่ 2 โปรแกรมภาษา
หน่วยที่ 2 โปรแกรมภาษาหน่วยที่ 2 โปรแกรมภาษา
หน่วยที่ 2 โปรแกรมภาษาPhanupong Chanayut
 
4.ฟังก์ชันในภาษาซี
4.ฟังก์ชันในภาษาซี4.ฟังก์ชันในภาษาซี
4.ฟังก์ชันในภาษาซีmansuang1978
 
องค์ประกอบของระบบคอมพิวเตอร์ ม.2
องค์ประกอบของระบบคอมพิวเตอร์ ม.2องค์ประกอบของระบบคอมพิวเตอร์ ม.2
องค์ประกอบของระบบคอมพิวเตอร์ ม.2ment1823
 
โครงสร้างของโปรแกรมภาษาซี
โครงสร้างของโปรแกรมภาษาซีโครงสร้างของโปรแกรมภาษาซี
โครงสร้างของโปรแกรมภาษาซีณัฐพล บัวพันธ์
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานchanamanee Tiya
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานchanamanee Tiya
 

Ähnlich wie โปรแกรมย่อยและฟังก์ชันมาตรฐาน (20)

3.1 โครงสร้างของภาษาซี
3.1 โครงสร้างของภาษาซี3.1 โครงสร้างของภาษาซี
3.1 โครงสร้างของภาษาซี
 
บทที่ 1
บทที่ 1บทที่ 1
บทที่ 1
 
บทที่ 1
บทที่ 1บทที่ 1
บทที่ 1
 
การสร้างงานโปรแกรม
การสร้างงานโปรแกรมการสร้างงานโปรแกรม
การสร้างงานโปรแกรม
 
โปรแกรมคอมพิวเตอร์
โปรแกรมคอมพิวเตอร์โปรแกรมคอมพิวเตอร์
โปรแกรมคอมพิวเตอร์
 
Work3
Work3Work3
Work3
 
3.6 ฟังก์ชัน
3.6 ฟังก์ชัน3.6 ฟังก์ชัน
3.6 ฟังก์ชัน
 
โปรแกรมคอมพิวเตอร์
โปรแกรมคอมพิวเตอร์โปรแกรมคอมพิวเตอร์
โปรแกรมคอมพิวเตอร์
 
องค์ประกอบของระบบคอมพิวเตอร์
องค์ประกอบของระบบคอมพิวเตอร์องค์ประกอบของระบบคอมพิวเตอร์
องค์ประกอบของระบบคอมพิวเตอร์
 
องค์ประกอบของระบบคอมพิวเตอร์
องค์ประกอบของระบบคอมพิวเตอร์องค์ประกอบของระบบคอมพิวเตอร์
องค์ประกอบของระบบคอมพิวเตอร์
 
ความหมายของโปรแกรมคอมพิวเตอร์
ความหมายของโปรแกรมคอมพิวเตอร์ความหมายของโปรแกรมคอมพิวเตอร์
ความหมายของโปรแกรมคอมพิวเตอร์
 
ใบความรู้ การเขียนโปรแกรม
ใบความรู้ การเขียนโปรแกรมใบความรู้ การเขียนโปรแกรม
ใบความรู้ การเขียนโปรแกรม
 
หน่วยที่ 2 โปรแกรมภาษา
หน่วยที่ 2 โปรแกรมภาษาหน่วยที่ 2 โปรแกรมภาษา
หน่วยที่ 2 โปรแกรมภาษา
 
4.ฟังก์ชันในภาษาซี
4.ฟังก์ชันในภาษาซี4.ฟังก์ชันในภาษาซี
4.ฟังก์ชันในภาษาซี
 
องค์ประกอบของระบบคอมพิวเตอร์ ม.2
องค์ประกอบของระบบคอมพิวเตอร์ ม.2องค์ประกอบของระบบคอมพิวเตอร์ ม.2
องค์ประกอบของระบบคอมพิวเตอร์ ม.2
 
โครงสร้างของโปรแกรมภาษาซี
โครงสร้างของโปรแกรมภาษาซีโครงสร้างของโปรแกรมภาษาซี
โครงสร้างของโปรแกรมภาษาซี
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐานโปรแกรมย่อยและฟังก์ชันมาตรฐาน
โปรแกรมย่อยและฟังก์ชันมาตรฐาน
 
Mindmapping
MindmappingMindmapping
Mindmapping
 
Mindmapping
MindmappingMindmapping
Mindmapping
 

Mehr von Thachanok Plubpibool

หมดปัญหาลืมรูดซิป ด้วย Noti
หมดปัญหาลืมรูดซิป ด้วย Notiหมดปัญหาลืมรูดซิป ด้วย Noti
หมดปัญหาลืมรูดซิป ด้วย NotiThachanok Plubpibool
 
หมดปัญหาลืมรูดซิป ด้วย Noti
หมดปัญหาลืมรูดซิป ด้วย Notiหมดปัญหาลืมรูดซิป ด้วย Noti
หมดปัญหาลืมรูดซิป ด้วย NotiThachanok Plubpibool
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน (1)
โปรแกรมย่อยและฟังก์ชันมาตรฐาน (1)โปรแกรมย่อยและฟังก์ชันมาตรฐาน (1)
โปรแกรมย่อยและฟังก์ชันมาตรฐาน (1)Thachanok Plubpibool
 
งานกลุ่มคอม กลุ่ม-5
งานกลุ่มคอม กลุ่ม-5งานกลุ่มคอม กลุ่ม-5
งานกลุ่มคอม กลุ่ม-5Thachanok Plubpibool
 
มีรายงานที่น่าสนใจจาก
มีรายงานที่น่าสนใจจากมีรายงานที่น่าสนใจจาก
มีรายงานที่น่าสนใจจากThachanok Plubpibool
 
Skit ละครสั้นชวนหัว งานชิ้นที่ 1
Skit ละครสั้นชวนหัว งานชิ้นที่ 1Skit ละครสั้นชวนหัว งานชิ้นที่ 1
Skit ละครสั้นชวนหัว งานชิ้นที่ 1Thachanok Plubpibool
 

Mehr von Thachanok Plubpibool (8)

งาน
งานงาน
งาน
 
หมดปัญหาลืมรูดซิป ด้วย Noti
หมดปัญหาลืมรูดซิป ด้วย Notiหมดปัญหาลืมรูดซิป ด้วย Noti
หมดปัญหาลืมรูดซิป ด้วย Noti
 
หมดปัญหาลืมรูดซิป ด้วย Noti
หมดปัญหาลืมรูดซิป ด้วย Notiหมดปัญหาลืมรูดซิป ด้วย Noti
หมดปัญหาลืมรูดซิป ด้วย Noti
 
โปรแกรมย่อยและฟังก์ชันมาตรฐาน (1)
โปรแกรมย่อยและฟังก์ชันมาตรฐาน (1)โปรแกรมย่อยและฟังก์ชันมาตรฐาน (1)
โปรแกรมย่อยและฟังก์ชันมาตรฐาน (1)
 
งานกลุ่มคอม กลุ่ม-5
งานกลุ่มคอม กลุ่ม-5งานกลุ่มคอม กลุ่ม-5
งานกลุ่มคอม กลุ่ม-5
 
มีรายงานที่น่าสนใจจาก
มีรายงานที่น่าสนใจจากมีรายงานที่น่าสนใจจาก
มีรายงานที่น่าสนใจจาก
 
ข่าวไอที
ข่าวไอทีข่าวไอที
ข่าวไอที
 
Skit ละครสั้นชวนหัว งานชิ้นที่ 1
Skit ละครสั้นชวนหัว งานชิ้นที่ 1Skit ละครสั้นชวนหัว งานชิ้นที่ 1
Skit ละครสั้นชวนหัว งานชิ้นที่ 1
 

โปรแกรมย่อยและฟังก์ชันมาตรฐาน