SlideShare a Scribd company logo
1 of 12
 
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
	
  
P e o p l e s 	
   E d u c a t i o n 	
   S o c i e t y 	
   S o u t h 	
   C a m p u s 	
   ( w w w . p e s . e d u ) 	
  
Shivoo	
  Koteshwar	
  
Professor,	
  E&C	
  Department,	
  PESIT	
  SC	
  	
  
	
  
Synthesis	
  Basics	
  
• Synthesis	
  Basics:	
  Highlights	
  of	
  Synthesis	
  
• Synthesis	
  information	
  from	
  Entity	
  and	
  Module	
  
• Mapping	
  Process	
  and	
  Always	
  in	
  the	
  Hardware	
  Domain.	
  
	
  
Reference	
  Books:	
  
• HDL	
  Programming	
  (VHDL	
  and	
  Verilog)-­‐	
  Nazeih	
  M.Botros-­‐	
  John	
  Weily	
  India	
  Pvt.	
  Ltd.	
  
2008.	
  
	
  
UNIT	
  8:	
  	
  
Synthesis	
  Basics:	
  Synthesis	
  Basics:	
  Highlights	
  of	
  Synthesis,	
  Synthesis	
  information	
  from	
  Entity	
  
and	
  Module,	
  Mapping	
  Process	
  and	
  Always	
  in	
  the	
  Hardware	
  Domain	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  6	
  Hours	
  
	
  
	
  
EVEN	
  
SEMESTER	
   13	
  
HDL	
  DESIGN-­‐4-­‐CLASS	
  NOTES	
  –	
  UNIT8	
  
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  2	
  
Synthesis:	
  
Synthesis is a process of converting HDL written in RTL format using
either Verilog or VHDL to optimized mapped gate level netlist.
• The RTL is optimized based on the constraints given – Timing, Area,
Power etc
• The netlist is mapped to the target library specified by user
Synthesis is a 3-step process: Translation, Optimization and Mapping
Translation: Conversion of RTL to Generic Boolean (GTECH equation).
• Here while translating, it also does HDL Syntax/Rule checking
Mapping: Mapping the netlist to target technology/library/standard cells.
Here the tool maps Boolean functions to technology specific primitive
functions. The mapping is done at 3 levels
1. Arithmetic function mapping
2. Sequential function mapping
3. Combinational function mapping
Optimization: Boolean optimization is done based on the constraints
specified (Area, Power, Timing etc). Some examples
1. Tree delay Minimization (Timing focused): Tree Height Minimization
is an algorithm that is used during Timing Based high-level
optimization. It restructures resources based on the timing
constraints. In the example below, tool would choose the
implementation on the right if D were the late arriving signal. If all 4
inputs had the same arrival time, DC would choose the
implementation on the left.
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  3	
  
2. Resource Sharing (Area focused)
3. Optimization to replace set of components by a complex gate
NOTE:
• Ultimate goal for HDL is to synthesize the system onto an electronic
chip
• Before synthesis, we need to simulate and test it. For testing, after we
have written the logical code (RTL for the design), we need to write a
testbench to give inputs and trigger the block so that we can monitor
the output either in text format or in a waveform format
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  4	
  
Synthesis Information from Entity and Module
From entity/module, tool extracts four unique properties:
1. Name of the block (Functional unit)
2. The port list and whether they are input, output or inout
3. The bit size of the each of the pins
4. Possible values each of these pins can take
Example:
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  5	
  
Example2:
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  6	
  
Mapping the signal assignment statement (y=x)
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  7	
  
Mapping the signal assignment statement (y=2x+3)
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  8	
  
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  9	
  
Mapping the variable assignment statement
• Verilog/VHDL does not distinguish between signal and variable
assignment statements
• Example:
• The hardware domain is not capable of mapping the variable
declaration and cannot distinguish between signal and variable
• We notice that signal C takes the value of variable c1, so in hardware
domain, c1 and C are one signal
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  10	
  
Mapping the “if” statement (example1)
Mapping the “if” statement (example2)
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  11	
  
Mapping the “if” statement (example3)
HDL	
  Design	
  (4th	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
	
  
	
  
Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  12	
  
Mapping the “if” statement (example4 –incomplete “if”)

More Related Content

What's hot

08 iec t1_s1_oo_ps_session_11
08 iec t1_s1_oo_ps_session_1108 iec t1_s1_oo_ps_session_11
08 iec t1_s1_oo_ps_session_11
Niit Care
 
Aldec overview 2011-10 revised
Aldec overview 2011-10 revisedAldec overview 2011-10 revised
Aldec overview 2011-10 revised
Prateek Chopra
 

What's hot (20)

Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
Vhdl
VhdlVhdl
Vhdl
 
Dacj 1-3 c
Dacj 1-3 cDacj 1-3 c
Dacj 1-3 c
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
08 iec t1_s1_oo_ps_session_11
08 iec t1_s1_oo_ps_session_1108 iec t1_s1_oo_ps_session_11
08 iec t1_s1_oo_ps_session_11
 
Verilog
VerilogVerilog
Verilog
 
Wi Fi documantation
Wi Fi documantationWi Fi documantation
Wi Fi documantation
 
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
Verilog
VerilogVerilog
Verilog
 
Lecture1
Lecture1Lecture1
Lecture1
 
vhdl
vhdlvhdl
vhdl
 
Verilog
VerilogVerilog
Verilog
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
C Course Material0209
C Course Material0209C Course Material0209
C Course Material0209
 
Extending Rotor with Structural Reflection to support Reflective Languages
Extending Rotor with Structural Reflection to support Reflective LanguagesExtending Rotor with Structural Reflection to support Reflective Languages
Extending Rotor with Structural Reflection to support Reflective Languages
 
Aldec overview 2011-10 revised
Aldec overview 2011-10 revisedAldec overview 2011-10 revised
Aldec overview 2011-10 revised
 
Session 01 v.3
Session 01 v.3Session 01 v.3
Session 01 v.3
 

Viewers also liked

Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3
IAEME Publication
 
2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2 2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2
Dr. Shivananda Koteshwar
 
2Sem-MTech-Low Power VLSI Design Homework - Unit1
2Sem-MTech-Low Power VLSI Design Homework - Unit1 2Sem-MTech-Low Power VLSI Design Homework - Unit1
2Sem-MTech-Low Power VLSI Design Homework - Unit1
Dr. Shivananda Koteshwar
 
Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_final
JITENDER -
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
Diwaker Pant
 

Viewers also liked (14)

Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3
 
2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2 2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2
 
2Sem-MTech-Low Power VLSI Design Homework - Unit1
2Sem-MTech-Low Power VLSI Design Homework - Unit1 2Sem-MTech-Low Power VLSI Design Homework - Unit1
2Sem-MTech-Low Power VLSI Design Homework - Unit1
 
Clock gating
Clock gatingClock gating
Clock gating
 
Pass transistor logic
Pass transistor logicPass transistor logic
Pass transistor logic
 
Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_final
 
Clock Gating
Clock GatingClock Gating
Clock Gating
 
Low power vlsi design
Low power vlsi designLow power vlsi design
Low power vlsi design
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Pass transistor logic
Pass transistor logicPass transistor logic
Pass transistor logic
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 

Similar to 4Sem-HDL Programming Notes-Unit8-Synthesis

16 implementation techniques
16 implementation techniques16 implementation techniques
16 implementation techniques
Majong DevJfu
 
Design patterns intro
Design patterns introDesign patterns intro
Design patterns intro
Jean Pаoli
 
.NET 4 Demystified - Sandeep Joshi
.NET 4 Demystified - Sandeep Joshi.NET 4 Demystified - Sandeep Joshi
.NET 4 Demystified - Sandeep Joshi
Spiffy
 

Similar to 4Sem-HDL Programming Notes-Unit8-Synthesis (20)

16 implementation techniques
16 implementation techniques16 implementation techniques
16 implementation techniques
 
A Program Transformation Technique To Support AOP Within C Template
A Program Transformation Technique To Support AOP Within C   TemplateA Program Transformation Technique To Support AOP Within C   Template
A Program Transformation Technique To Support AOP Within C Template
 
Verilog HDL 0001.pdf
Verilog HDL  0001.pdfVerilog HDL  0001.pdf
Verilog HDL 0001.pdf
 
Design patterns intro
Design patterns introDesign patterns intro
Design patterns intro
 
Mini Project- ROM Based Sine Wave Generator
Mini Project- ROM Based Sine Wave GeneratorMini Project- ROM Based Sine Wave Generator
Mini Project- ROM Based Sine Wave Generator
 
Floating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAFloating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGA
 
.NET 4 Demystified - Sandeep Joshi
.NET 4 Demystified - Sandeep Joshi.NET 4 Demystified - Sandeep Joshi
.NET 4 Demystified - Sandeep Joshi
 
Computer Programming - Lecture E
Computer Programming - Lecture EComputer Programming - Lecture E
Computer Programming - Lecture E
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
 
Combining formal and machine learning techniques for the generation of JML sp...
Combining formal and machine learning techniques for the generation of JML sp...Combining formal and machine learning techniques for the generation of JML sp...
Combining formal and machine learning techniques for the generation of JML sp...
 
Why system verilog ?
Why system verilog ? Why system verilog ?
Why system verilog ?
 
Tutor1
Tutor1Tutor1
Tutor1
 
An Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl pprAn Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl ppr
 
Training python (new Updated)
Training python (new Updated)Training python (new Updated)
Training python (new Updated)
 
Python - the basics
Python - the basicsPython - the basics
Python - the basics
 
VLSI lab manual Part A, VTU 7the sem KIT-tiptur
VLSI lab manual Part A, VTU 7the sem KIT-tipturVLSI lab manual Part A, VTU 7the sem KIT-tiptur
VLSI lab manual Part A, VTU 7the sem KIT-tiptur
 
Logic synthesis using Verilog HDL
Logic synthesis using Verilog HDLLogic synthesis using Verilog HDL
Logic synthesis using Verilog HDL
 
System design using HDL - Module 1
System design using HDL - Module 1System design using HDL - Module 1
System design using HDL - Module 1
 
Technical Interview
Technical InterviewTechnical Interview
Technical Interview
 

More from Dr. Shivananda Koteshwar

More from Dr. Shivananda Koteshwar (20)

Aurinko Open Day (11th and 12th)
Aurinko Open Day (11th and 12th)Aurinko Open Day (11th and 12th)
Aurinko Open Day (11th and 12th)
 
Aurinko Open Day (Pre KG to 10th Grade)
Aurinko Open Day (Pre KG to 10th Grade)Aurinko Open Day (Pre KG to 10th Grade)
Aurinko Open Day (Pre KG to 10th Grade)
 
BELAKUBE METHODOLOGY
BELAKUBE METHODOLOGYBELAKUBE METHODOLOGY
BELAKUBE METHODOLOGY
 
Belakoo Annual Report 2021-22
Belakoo Annual Report 2021-22Belakoo Annual Report 2021-22
Belakoo Annual Report 2021-22
 
Role of a manager in cultural transformation
Role of a manager in cultural transformationRole of a manager in cultural transformation
Role of a manager in cultural transformation
 
Social Entrepreneurship
Social EntrepreneurshipSocial Entrepreneurship
Social Entrepreneurship
 
Innovation in GCC - Global Capability Center
Innovation in GCC - Global Capability CenterInnovation in GCC - Global Capability Center
Innovation in GCC - Global Capability Center
 
Corporate Expectation from a MBA Graduate
Corporate Expectation from a MBA GraduateCorporate Expectation from a MBA Graduate
Corporate Expectation from a MBA Graduate
 
Introduction to consultancy for MBA Freshers
Introduction to consultancy for MBA FreshersIntroduction to consultancy for MBA Freshers
Introduction to consultancy for MBA Freshers
 
Bachelor of Design (BDes)
Bachelor of Design (BDes)Bachelor of Design (BDes)
Bachelor of Design (BDes)
 
Understanding scale Clean tech and Agritech verticals
Understanding scale   Clean tech and Agritech verticalsUnderstanding scale   Clean tech and Agritech verticals
Understanding scale Clean tech and Agritech verticals
 
Evolution and Advancement in Chipsets
Evolution and Advancement in ChipsetsEvolution and Advancement in Chipsets
Evolution and Advancement in Chipsets
 
Ideation and validation - An exercise
Ideation and validation -  An exerciseIdeation and validation -  An exercise
Ideation and validation - An exercise
 
IoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneursIoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneurs
 
ASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and MethodologiesASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and Methodologies
 
IoT Product Design and Prototyping
IoT Product Design and PrototypingIoT Product Design and Prototyping
IoT Product Design and Prototyping
 
Business model
Business modelBusiness model
Business model
 
Engaging Today's kids
Engaging Today's kidsEngaging Today's kids
Engaging Today's kids
 
Nurturing Innovative Minds
Nurturing Innovative MindsNurturing Innovative Minds
Nurturing Innovative Minds
 
Creating those dots
Creating those dotsCreating those dots
Creating those dots
 

Recently uploaded

1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
QucHHunhnh
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdf
QucHHunhnh
 
Making and Justifying Mathematical Decisions.pdf
Making and Justifying Mathematical Decisions.pdfMaking and Justifying Mathematical Decisions.pdf
Making and Justifying Mathematical Decisions.pdf
Chris Hunter
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
ciinovamais
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
PECB
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
heathfieldcps1
 

Recently uploaded (20)

Advance Mobile Application Development class 07
Advance Mobile Application Development class 07Advance Mobile Application Development class 07
Advance Mobile Application Development class 07
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptxINDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impact
 
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdf
 
Making and Justifying Mathematical Decisions.pdf
Making and Justifying Mathematical Decisions.pdfMaking and Justifying Mathematical Decisions.pdf
Making and Justifying Mathematical Decisions.pdf
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
 
fourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingfourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writing
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
 
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
 

4Sem-HDL Programming Notes-Unit8-Synthesis

  • 1.                                                                       P e o p l e s   E d u c a t i o n   S o c i e t y   S o u t h   C a m p u s   ( w w w . p e s . e d u )   Shivoo  Koteshwar   Professor,  E&C  Department,  PESIT  SC       Synthesis  Basics   • Synthesis  Basics:  Highlights  of  Synthesis   • Synthesis  information  from  Entity  and  Module   • Mapping  Process  and  Always  in  the  Hardware  Domain.     Reference  Books:   • HDL  Programming  (VHDL  and  Verilog)-­‐  Nazeih  M.Botros-­‐  John  Weily  India  Pvt.  Ltd.   2008.     UNIT  8:     Synthesis  Basics:  Synthesis  Basics:  Highlights  of  Synthesis,  Synthesis  information  from  Entity   and  Module,  Mapping  Process  and  Always  in  the  Hardware  Domain                                                            6  Hours       EVEN   SEMESTER   13   HDL  DESIGN-­‐4-­‐CLASS  NOTES  –  UNIT8  
  • 2. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  2   Synthesis:   Synthesis is a process of converting HDL written in RTL format using either Verilog or VHDL to optimized mapped gate level netlist. • The RTL is optimized based on the constraints given – Timing, Area, Power etc • The netlist is mapped to the target library specified by user Synthesis is a 3-step process: Translation, Optimization and Mapping Translation: Conversion of RTL to Generic Boolean (GTECH equation). • Here while translating, it also does HDL Syntax/Rule checking Mapping: Mapping the netlist to target technology/library/standard cells. Here the tool maps Boolean functions to technology specific primitive functions. The mapping is done at 3 levels 1. Arithmetic function mapping 2. Sequential function mapping 3. Combinational function mapping Optimization: Boolean optimization is done based on the constraints specified (Area, Power, Timing etc). Some examples 1. Tree delay Minimization (Timing focused): Tree Height Minimization is an algorithm that is used during Timing Based high-level optimization. It restructures resources based on the timing constraints. In the example below, tool would choose the implementation on the right if D were the late arriving signal. If all 4 inputs had the same arrival time, DC would choose the implementation on the left.
  • 3. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  3   2. Resource Sharing (Area focused) 3. Optimization to replace set of components by a complex gate NOTE: • Ultimate goal for HDL is to synthesize the system onto an electronic chip • Before synthesis, we need to simulate and test it. For testing, after we have written the logical code (RTL for the design), we need to write a testbench to give inputs and trigger the block so that we can monitor the output either in text format or in a waveform format
  • 4. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  4   Synthesis Information from Entity and Module From entity/module, tool extracts four unique properties: 1. Name of the block (Functional unit) 2. The port list and whether they are input, output or inout 3. The bit size of the each of the pins 4. Possible values each of these pins can take Example:
  • 5. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  5   Example2:
  • 6. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  6   Mapping the signal assignment statement (y=x)
  • 7. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  7   Mapping the signal assignment statement (y=2x+3)
  • 8. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  8  
  • 9. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  9   Mapping the variable assignment statement • Verilog/VHDL does not distinguish between signal and variable assignment statements • Example: • The hardware domain is not capable of mapping the variable declaration and cannot distinguish between signal and variable • We notice that signal C takes the value of variable c1, so in hardware domain, c1 and C are one signal
  • 10. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  10   Mapping the “if” statement (example1) Mapping the “if” statement (example2)
  • 11. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  11   Mapping the “if” statement (example3)
  • 12. HDL  Design  (4th  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                                                                                                                        shivoo@pes.edu  12   Mapping the “if” statement (example4 –incomplete “if”)