SlideShare a Scribd company logo
1 of 27
Interface Device Laboratory, Kanazawa University http://ifdl.jp/
自作LSIコミュニティの可能性
秋田純一(金沢大・電子情報学類)
akita@ifdl.jp
@akita11
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
自己紹介
 名古屋生まれ→博士号(‘98)(イメージセンサ)
 金沢大(’98~’00・’04~)
 公立はこだて未来大(’00~’04)
 ’95〜’00:はこだて未来大 計画策定委員
 本業:(機能つき)イメージセンサ
 +半導体を使うデバイス・システム(←電子工少年)
 好きな半田はPb:Sn=60:40
 好きなプロセスは0.35μm(半導体)
LSI(イメージセンサ)のレイアウト図
(プロッタ出力して目視チェック)
チップと基板をつなぐ
ワイヤーボンディング
基板設計
はんだ部屋
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
自己紹介(おまけ)
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
最近の秋葉原(あきば)
4
※客層が変わってきている(こっちの)
(昔)ロボコン高専生・電子工作マニア(おっさん)
(今)↑+テクノ手芸女子、親子連れ、美大生
西餅「ハルロック」・1Ωより
(週刊モーニングで連載/単行本)
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
Make: 理工離れ?どこの世界の話?
“Maker”の活動の広がり
実はみんな「作るのが大好き」
FabLab(レーザーカッター、3Dプリンタ等の
加工機をコアにしたコミュニティ)
いままでは「技術が手元になかった」だけ
道具・技術が「民主化」されて、
使えるようになった
MakerFaireTokyo2013の様子
「ハルロック」1巻1Ωより
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
“Maker”から産業へ
ロングテール:嗜好の多様化+それに応える産業
「本当に欲しいもの」が手に入る
実際に製造業でも
Industry4.0も背景
小規模製造業、高い技術力
熱心なユーザ・ファン、ユニークな製品
市場調査+資金調達=CrowdFunding
サプライチェーン・製造技術の活用
製造業におけるロングテールの具現化
「ハードウエア・スタートアップ」が続々
「大量生産型」の補完として定着
(C.アンダーソン「ロングテール」,早川書房 (2009))
全体の40%
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
なぜMakerが生まれたのか?
製造技術が「普及(道具化)」したから
技術がこなれてきた
ノウハウがたまった
ユーザの「幅」が広がった
生態系(ecosystem)を形成(事業として成立)
Arduino←→無数のマイコンボードの違い?
使いやすさ+ユーザコミュニティ(主にオンライン)
7
ArduinoUno
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
技術が「道具」になるには?
 1993年頃に生まれる
→2003年頃から普及(10年)
 当初:食べ方???
 料理番組・雑誌等での
調理例→定番キノコに
 料理の「道具(素材)」になった
(Wikipediaより)
(農林水産省「平成20年度 農林水産物貿易円滑化推進事業 台湾・香港・シンガポール・タイ
における品目別市場実態調査(生鮮きのこ)報告書」(林野庁経営課特用林産対策室 )より)
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
技術が「道具」になるステップ
開発/発明される
お店で買えるようになる
使い方が知られるようになる
みんなが使うようになる
それが「道具」となって、次のステップへ
プロのみ マニア(ハイレベルアマチュア)向け だれでも
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
「技術の普及」の結果:深圳の華強北
10
山寨(ShanZhai)の例(“iPhone nano”)
※FakeCopyではなく、プロダクトの
進化系。これが2週間で量産される
無限に続くパーツ屋/築地のような活気
“Used Mobile Phone Shop”の実体
パーツに分解
(BGAも)
路上で解体
店頭でリペア
※BGAも手はんだ
※ボール再生機あり
ShenZhen HuaQiangBei
基板製造
+
部品(サプライチェーン)
+
ハードウエアスタートアップ
+
VC/アクセラレータ
深圳の生態系
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
半導体の進化の歴史:ムーアの法則
ref: http://www.intel.com/jp/intel/museum/processor/index.htm
傾き:×約1.5/年
G.Mooreが1965年に論文[1]で述べる→C.Meadが「法則」と命名→「予測」→「指針(目標)」へ
素子を微細化する=いいことがたくさんある(性能↑、消費電力↓などなど)
[1] G.E.Moore, "Cramming more components onto integrated circuits," IEEE Solid-State Circuit Newsletter, Vol.11, No.5, pp.33-35, 1965.
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
「LED点滅(Lチカ)」のパラダイムシフト
コスト面:マイコン○(「もったいなくない」)
機能面:マイコン○(多機能・仕様変更も容易)
「半導体(LSI)の低価格化」が起こした
「コンピュータ=部品」へのパラダイムシフト
マイコン使用
部品点数=1
コスト:100円
発振回路(555)
部品点数=4
コスト:150円
while(1){
a = 1;
sleep(1);
a = 0;
sleep(1);
}
※さすがにPCではちょっと・・・
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
集積回路は「道具」になっているか?
高性能な「汎用品」:道具になった
(マイコン、FPGA、オペアンプ、・・・)
「専用品」(カスタムLSI)は?:現状、無理
例:学部1年生にLSIを作らせる?
「高いんだぞ・・・」「失敗したらシャレにならんぞ」
「ツールの使い方が難しいぞ」
「基礎知識(回路理論など)をいっぱい勉強しろ」
「ちゃんと動かすのは難しいぞ」
TATが長い(=チップが届くころには忘れている)
作れない→経験できない→学べない
13
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
半導体は「道具」になっているか?:調査
https://www.youtube.com/watch?v=A188CYfuKQ0
http://www.nicovideo.jp/watch/sm23660093
CMOS 0.18um 5Al
2.5mm x 2.5mm
RingOSC x 1001
T-FF (Div)
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
LチカLSI動画:ニコ動でのコメント
 こっから?
 ニコ技界のTOKIO
 ゲートの無駄遣い
 ここから!!?
 ひでえ、勿体ない使い方wwwww
 マジかよ。レジストレベルの設計とか
ガチすぎる。
 無駄遣い過ぎるだろw
 贅沢というかなんというか
 え?まじでここからかよ」wwww」」
 IC版FusionPCB的なところが現れれば・・・
 (FPGAでは)いかんのか?
 俺はFPGAで我慢することにする
 いや、そこまでは必要ないです
 量産品すらFPGA使う時代に専用LSI・・・
 アマチュアはFPGAで良いんだよなぁ・・・w
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
半導体を「つくる」ためのハードル
設計CAD
市販の業務用CAD: 高すぎ、高機能すぎ
製造方法
高すぎ、時間かかりすぎ(1000万円・半年)
NDA(設計ルールなどのアクセス制限)が厳しすぎ
ユーザ・コミュニティ
参入障壁:現状は専門家ばかり
“How”の専門家は多いが、”Why/What”は皆無
例:IoT時代のTrillion Sensor←経済的な方策(設置・運用)は?
例:エナジーハーベストで動く永久センサノード←いずれ故障する
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
半導体を道具にするために: MakeLSI:
情報収集・整理
フリーCADなど(けっこうある)
NDAフリー・OpenSourceの環境で
仲間さがし
参加条件:特になし(アツい心)
けっこういる(120名程度)
プロ・経験者〜SWエンジニア〜主婦
http://ifdl.jp/make_lsi
フリーのCAD
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
MakeLSI: まずはやってみた
2015年7月~8月&2016年7月~8月
参加:
2015年:8人・9種類@2チップ(高専生~ギーク~元プロ)
2016年:11人・13種類@2チップ(高専生~プロ~主婦)
IP蓄積が異様に速い(オープンソース/Github)
Linuxのような、オープンソース&分散型IP開発の可能性?
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
「道具」としての半導体を持つこと
ふつうの情報工学の研究・・・「あるもの」を使う
カメラ、Kinect、マイコン、FPGA、HoloLens・・・
新技術で、一気にパラダイムが変わることがある
「LSIをつくれる」という道具
=「いまできること」という発想から脱却
Microsoft HoloLens
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
「DIYマイコン」はどうか?
ARM Cortex-M0 DesignStartプログラム
誰でも申請OK、評価目的でCortex-M0のHDLソース
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
「自作Cortex-M0でLチカ」:概要
0: 23a0 movs r3, #160 ; 0xa0
2: 05db lsls r3, r3, #23
4: 4c0b ldr r4, [pc, #44]
6: 4f0c ldr r7, [pc, #48]
8: 2201 movs r2, #1
a: 601a str r2, [r3, #0]
c: 2500 movs r5, #0
e: 6025 str r5, [r4, #0]
10: 2600 movs r6, #0
12: 3601 adds r6, #1
14: 42be cmp r6, r7
16: d1fc bne.n 12 <main+0x12>
18: 3501 adds r5, #1
1a: 2dff cmp r5, #255 ; 0xff
1c: d1f7 bne.n e <main+0xe>
1e: 2200 movs r2, #0
20: 601a str r2, [r3, #0]
22: 25ff movs r5, #255 ; 0xff
24: 2600 movs r6, #0
26: 3601 adds r6, #1
28: 42be cmp r6, r7
2a: d1fc bne.n 26 <main+0x26>
2c: 3d01 subs r5, #1
2e: 2d00 cmp r5, #0
30: d1f8 bne.n 24 <main+0x24>
32: e7e9 b.n 8 <main+0x8>
34: 50000004
38: 0000270f
#define GPIO 0x50000000
#define PWMDUTY 0x50000001
#define WAIT 10000 // 3,000,000=0.3s / 256 -> 10,000
void main()
{
volatile unsigned int w;
volatile unsigned int d;
while(1){
*(volatile unsigned int *)GPIO = 0x0001;
for (d = 0; d < 256; d++){
*(volatile unsigned int *)PWMDUTY = d;
for (w = 0; w < WAIT; w++);
}
*(volatile unsigned int *)GPIO = 0x0000;
for (d = 255; d >= 0; d--){
*(volatile unsigned int *)PWMDUTY = d;
for (w = 0; w < WAIT; w++);
}
}
}
arm-gcc/gas
VerilogHDL
module imem(clk, addr, data2);
input clk;
input [31:0] addr;
output [31:0] data2;
reg [31:0] data, data2;
// 0x00000000 - 0x1fffffff : code (0x00000000-0x000000c0: int.vec.)
// code memory: little-endian (LSB=1st byte / MSB=2nd byte)
wire [31:0] addr2;
assign addr2 = {addr[31:2], 2'b00};
always @(addr2) begin
case (addr2)
32'h00000000 : data <= 32'h0020000; // insital SP
32'h00000004 : data <= 32'h0000101; // reset (bit[0]=T)
32'h00000100 : data <= {16'h05db,16'h23a0};
32'h00000104 : data <= {16'h4f0d,16'h4c0c};
32'h00000108 : data <= {16'h601a,16'h2201};
32'h0000010c : data <= {16'h6025,16'h2500};
32'h00000110 : data <= {16'h3601,16'h2600};
32'h00000114 : data <= {16'hd1fc,16'h42be};
32'h00000118 : data <= {16'h2dff,16'h3501};
32'h0000011c : data <= {16'h2200,16'hd1f7};
32'h00000120 : data <= {16'h25ff,16'h601a};
32'h00000124 : data <= {16'h2600,16'h6025};
32'h00000128 : data <= {16'h42be,16'h3601};
32'h0000012c : data <= {16'h3d01,16'hd1fc};
32'h00000130 : data <= {16'hd1f7,16'h2d00};
32'h00000134 : data <= {16'h0000,16'he7e8};
32'h00000138 : data <= 32'h50000004;
32'h0000013c : data <= 32'd1999;
default: data <= 32'h0;
endcase
end
always @(posedge clk) begin
data2 <= data;
end
endmodule
「Lチカ」&「Lほわ」
Thumb命令
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
「自作Cortex-M0でLチカ」:メモリマップ
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
「Lチカ専用Cortex-M0」:設計してみた
CMOS 0.18um 5Al
0.55mm x 0.65mm
※このチップの設計は、東京大学大規模集積システム設計教育研究センターを通し、
日本ケイデンス株式会社、シノプシス株式会社研究センターの協力で行われたものです。
※このチップの設計で使用したライブラリは、京都大学情報学研究科 田丸・小野寺研究室の
成果によるもので、京都工芸繊維大学 小林和淑教授によりリリースされたものです。
※このチップの試作は、東京大学大規模集積システム設計教育研究センターを通し、
ローム(株)および凸版印刷(株)の協力で行われたものです。
Synopsys
Design Compiler
& IC Compiler
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
「Lチカ専用Cortex-M0」:製造してみた
※基板はスイッチサイエンスPCBで製造
※クロック周波数=10MHz
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
「Lチカ専用Cortex-M0」:動作させてみた
詳細はこちら→https://www.youtube.com/watch?v=Ptb5kcw3QtY / niconico: sm30093578
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
DIYマイコン:どう作る?ミニマルファブ
0.5インチウエハ・局所クリーン化・DLP露光
工程ごとの小型装置群
小ロットのLSI製造
加工寸法:1um程度
単TAT(1〜2日)
pMOS, nMOS:OK
→CMOS回路へ/MEMS
一部装置は既に販売開始
CMOS製造装置群&製造サービスはあと数年?
基板界での「P板.com」や「スイッチサイエンスPCB」に
似た位置づけ
http://unit.aist.go.jp/neri/mini-sys/fabsystem/minimalfab.html
2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/
1um?0.5in?いえいえ、けっこう使えます
1[um]/3Alプロセス・0.5inウエハに
Cortex-M0コアが4ショットは入る
カスタムなペリフェラル・アナログ・センサ・MEMS
の混載も(これが数万円&1週間@1個から)
※0.18[um]/3Alでの配置配線結果の
レイアウトデータ(GDS)を1/0.18=28倍に
拡大して作成

More Related Content

What's hot

ソーシャルゲームのためのデータベース設計
ソーシャルゲームのためのデータベース設計ソーシャルゲームのためのデータベース設計
ソーシャルゲームのためのデータベース設計Yoshinori Matsunobu
 
Intel AVX-512/富岳SVE用SIMDコード生成ライブラリsimdgen
Intel AVX-512/富岳SVE用SIMDコード生成ライブラリsimdgenIntel AVX-512/富岳SVE用SIMDコード生成ライブラリsimdgen
Intel AVX-512/富岳SVE用SIMDコード生成ライブラリsimdgenMITSUNARI Shigeo
 
冬のLock free祭り safe
冬のLock free祭り safe冬のLock free祭り safe
冬のLock free祭り safeKumazaki Hiroki
 
詳説データベース輪読会: 分散合意その2
詳説データベース輪読会: 分散合意その2詳説データベース輪読会: 分散合意その2
詳説データベース輪読会: 分散合意その2Sho Nakazono
 
RSA暗号運用でやってはいけない n のこと #ssmjp
RSA暗号運用でやってはいけない n のこと #ssmjpRSA暗号運用でやってはいけない n のこと #ssmjp
RSA暗号運用でやってはいけない n のこと #ssmjpsonickun
 
地理分散DBについて
地理分散DBについて地理分散DBについて
地理分散DBについてKumazaki Hiroki
 
Pythonでパケット解析
Pythonでパケット解析Pythonでパケット解析
Pythonでパケット解析euphoricwavism
 
ARM CPUにおけるSIMDを用いた高速計算入門
ARM CPUにおけるSIMDを用いた高速計算入門ARM CPUにおけるSIMDを用いた高速計算入門
ARM CPUにおけるSIMDを用いた高速計算入門Fixstars Corporation
 
トランザクションをSerializableにする4つの方法
トランザクションをSerializableにする4つの方法トランザクションをSerializableにする4つの方法
トランザクションをSerializableにする4つの方法Kumazaki Hiroki
 
BuildKitによる高速でセキュアなイメージビルド
BuildKitによる高速でセキュアなイメージビルドBuildKitによる高速でセキュアなイメージビルド
BuildKitによる高速でセキュアなイメージビルドAkihiro Suda
 
SQLインジェクション総”習”編
SQLインジェクション総”習”編SQLインジェクション総”習”編
SQLインジェクション総”習”編Yasuo Ohgaki
 
ネットワーク ゲームにおけるTCPとUDPの使い分け
ネットワーク ゲームにおけるTCPとUDPの使い分けネットワーク ゲームにおけるTCPとUDPの使い分け
ネットワーク ゲームにおけるTCPとUDPの使い分けモノビット エンジン
 
PostgreSQLのロール管理とその注意点(Open Source Conference 2022 Online/Osaka 発表資料)
PostgreSQLのロール管理とその注意点(Open Source Conference 2022 Online/Osaka 発表資料)PostgreSQLのロール管理とその注意点(Open Source Conference 2022 Online/Osaka 発表資料)
PostgreSQLのロール管理とその注意点(Open Source Conference 2022 Online/Osaka 発表資料)NTT DATA Technology & Innovation
 
Hybrid Public Key Encryption (HPKE)
Hybrid Public Key Encryption (HPKE)Hybrid Public Key Encryption (HPKE)
Hybrid Public Key Encryption (HPKE)Jun Kurihara
 
高位合成でDeep learning
高位合成でDeep learning高位合成でDeep learning
高位合成でDeep learningMori Labo.
 
例外設計における大罪
例外設計における大罪例外設計における大罪
例外設計における大罪Takuto Wada
 
FlutterでBLEを
いい感じにする
FlutterでBLEを
いい感じにするFlutterでBLEを
いい感じにする
FlutterでBLEを
いい感じにするchigichan24
 
型安全性入門
型安全性入門型安全性入門
型安全性入門Akinori Abe
 

What's hot (20)

ソーシャルゲームのためのデータベース設計
ソーシャルゲームのためのデータベース設計ソーシャルゲームのためのデータベース設計
ソーシャルゲームのためのデータベース設計
 
Intel AVX-512/富岳SVE用SIMDコード生成ライブラリsimdgen
Intel AVX-512/富岳SVE用SIMDコード生成ライブラリsimdgenIntel AVX-512/富岳SVE用SIMDコード生成ライブラリsimdgen
Intel AVX-512/富岳SVE用SIMDコード生成ライブラリsimdgen
 
冬のLock free祭り safe
冬のLock free祭り safe冬のLock free祭り safe
冬のLock free祭り safe
 
詳説データベース輪読会: 分散合意その2
詳説データベース輪読会: 分散合意その2詳説データベース輪読会: 分散合意その2
詳説データベース輪読会: 分散合意その2
 
RSA暗号運用でやってはいけない n のこと #ssmjp
RSA暗号運用でやってはいけない n のこと #ssmjpRSA暗号運用でやってはいけない n のこと #ssmjp
RSA暗号運用でやってはいけない n のこと #ssmjp
 
地理分散DBについて
地理分散DBについて地理分散DBについて
地理分散DBについて
 
Pythonでパケット解析
Pythonでパケット解析Pythonでパケット解析
Pythonでパケット解析
 
C++ マルチスレッド 入門
C++ マルチスレッド 入門C++ マルチスレッド 入門
C++ マルチスレッド 入門
 
Marp Tutorial
Marp TutorialMarp Tutorial
Marp Tutorial
 
ARM CPUにおけるSIMDを用いた高速計算入門
ARM CPUにおけるSIMDを用いた高速計算入門ARM CPUにおけるSIMDを用いた高速計算入門
ARM CPUにおけるSIMDを用いた高速計算入門
 
トランザクションをSerializableにする4つの方法
トランザクションをSerializableにする4つの方法トランザクションをSerializableにする4つの方法
トランザクションをSerializableにする4つの方法
 
BuildKitによる高速でセキュアなイメージビルド
BuildKitによる高速でセキュアなイメージビルドBuildKitによる高速でセキュアなイメージビルド
BuildKitによる高速でセキュアなイメージビルド
 
SQLインジェクション総”習”編
SQLインジェクション総”習”編SQLインジェクション総”習”編
SQLインジェクション総”習”編
 
ネットワーク ゲームにおけるTCPとUDPの使い分け
ネットワーク ゲームにおけるTCPとUDPの使い分けネットワーク ゲームにおけるTCPとUDPの使い分け
ネットワーク ゲームにおけるTCPとUDPの使い分け
 
PostgreSQLのロール管理とその注意点(Open Source Conference 2022 Online/Osaka 発表資料)
PostgreSQLのロール管理とその注意点(Open Source Conference 2022 Online/Osaka 発表資料)PostgreSQLのロール管理とその注意点(Open Source Conference 2022 Online/Osaka 発表資料)
PostgreSQLのロール管理とその注意点(Open Source Conference 2022 Online/Osaka 発表資料)
 
Hybrid Public Key Encryption (HPKE)
Hybrid Public Key Encryption (HPKE)Hybrid Public Key Encryption (HPKE)
Hybrid Public Key Encryption (HPKE)
 
高位合成でDeep learning
高位合成でDeep learning高位合成でDeep learning
高位合成でDeep learning
 
例外設計における大罪
例外設計における大罪例外設計における大罪
例外設計における大罪
 
FlutterでBLEを
いい感じにする
FlutterでBLEを
いい感じにするFlutterでBLEを
いい感じにする
FlutterでBLEを
いい感じにする
 
型安全性入門
型安全性入門型安全性入門
型安全性入門
 

Similar to 自作LSIコミュニティの可能性

Cortex-M0プロセッサから自作して Lチカをやってみた
Cortex-M0プロセッサから自作してLチカをやってみたCortex-M0プロセッサから自作してLチカをやってみた
Cortex-M0プロセッサから自作して LチカをやってみたJunichi Akita
 
Makerの「道具」としてのLSI ~「LED点滅用のLSIをつくって   Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
Makerの「道具」としてのLSI~「LED点滅用のLSIをつくって  Lチカをやってみた」のココロ~(MakerFaireTokyo2014)Makerの「道具」としてのLSI~「LED点滅用のLSIをつくって  Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
Makerの「道具」としてのLSI ~「LED点滅用のLSIをつくって   Lチカをやってみた」のココロ~(MakerFaireTokyo2014)Junichi Akita
 
Polyphony の行く末(2018/3/3)
Polyphony の行く末(2018/3/3)Polyphony の行く末(2018/3/3)
Polyphony の行く末(2018/3/3)ryos36
 
自作RISC-VチップでLチカをやってみた
自作RISC-VチップでLチカをやってみた自作RISC-VチップでLチカをやってみた
自作RISC-VチップでLチカをやってみたJunichi Akita
 
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519Yasuhiro Ishii
 
Makerの「道具」としてのカスタムLSI
Makerの「道具」としてのカスタムLSIMakerの「道具」としてのカスタムLSI
Makerの「道具」としてのカスタムLSIJunichi Akita
 
Makeの最後の砦(ラスボス):半導体への挑戦
Makeの最後の砦(ラスボス):半導体への挑戦Makeの最後の砦(ラスボス):半導体への挑戦
Makeの最後の砦(ラスボス):半導体への挑戦Junichi Akita
 
[CEDEC2017] LINEゲームのセキュリティ診断手法
[CEDEC2017] LINEゲームのセキュリティ診断手法[CEDEC2017] LINEゲームのセキュリティ診断手法
[CEDEC2017] LINEゲームのセキュリティ診断手法LINE Corporation
 
Smart Tennis Lesson Serverless Design
Smart Tennis Lesson Serverless DesignSmart Tennis Lesson Serverless Design
Smart Tennis Lesson Serverless DesignRyuji TAKEHARA
 
GPUが100倍速いという神話をぶち殺せたらいいな ver.2013
GPUが100倍速いという神話をぶち殺せたらいいな ver.2013GPUが100倍速いという神話をぶち殺せたらいいな ver.2013
GPUが100倍速いという神話をぶち殺せたらいいな ver.2013Ryo Sakamoto
 
seccamp2012 チューター発表
seccamp2012 チューター発表seccamp2012 チューター発表
seccamp2012 チューター発表Hirotaka Kawata
 
集積回路が真の道具になるために
集積回路が真の道具になるために集積回路が真の道具になるために
集積回路が真の道具になるためにJunichi Akita
 
Bluetooth通信の 仕組みと活用法紹介
Bluetooth通信の仕組みと活用法紹介Bluetooth通信の仕組みと活用法紹介
Bluetooth通信の 仕組みと活用法紹介Takehiko YOSHIDA
 
道具としての半導体設計:Lチカを題材として
道具としての半導体設計:Lチカを題材として道具としての半導体設計:Lチカを題材として
道具としての半導体設計:Lチカを題材としてJunichi Akita
 
C++0x in programming competition
C++0x in programming competitionC++0x in programming competition
C++0x in programming competitionyak1ex
 
Windowsのパケットモニタ作成
Windowsのパケットモニタ作成Windowsのパケットモニタ作成
Windowsのパケットモニタ作成Shinichi Hirauchi
 
Moony li pacsec-1.5_j4-truefinal
Moony li pacsec-1.5_j4-truefinalMoony li pacsec-1.5_j4-truefinal
Moony li pacsec-1.5_j4-truefinalPacSecJP
 
[CB16] (P)FACE :アップルのコアへ、そしてルート権限へのエクスプロイト by Moony Li & Jack Tang
[CB16] (P)FACE :アップルのコアへ、そしてルート権限へのエクスプロイト by Moony Li & Jack Tang[CB16] (P)FACE :アップルのコアへ、そしてルート権限へのエクスプロイト by Moony Li & Jack Tang
[CB16] (P)FACE :アップルのコアへ、そしてルート権限へのエクスプロイト by Moony Li & Jack TangCODE BLUE
 

Similar to 自作LSIコミュニティの可能性 (20)

Cortex-M0プロセッサから自作して Lチカをやってみた
Cortex-M0プロセッサから自作してLチカをやってみたCortex-M0プロセッサから自作してLチカをやってみた
Cortex-M0プロセッサから自作して Lチカをやってみた
 
Makerの「道具」としてのLSI ~「LED点滅用のLSIをつくって   Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
Makerの「道具」としてのLSI~「LED点滅用のLSIをつくって  Lチカをやってみた」のココロ~(MakerFaireTokyo2014)Makerの「道具」としてのLSI~「LED点滅用のLSIをつくって  Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
Makerの「道具」としてのLSI ~「LED点滅用のLSIをつくって   Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
 
Polyphony の行く末(2018/3/3)
Polyphony の行く末(2018/3/3)Polyphony の行く末(2018/3/3)
Polyphony の行く末(2018/3/3)
 
自作RISC-VチップでLチカをやってみた
自作RISC-VチップでLチカをやってみた自作RISC-VチップでLチカをやってみた
自作RISC-VチップでLチカをやってみた
 
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
 
Makerの「道具」としてのカスタムLSI
Makerの「道具」としてのカスタムLSIMakerの「道具」としてのカスタムLSI
Makerの「道具」としてのカスタムLSI
 
Makeの最後の砦(ラスボス):半導体への挑戦
Makeの最後の砦(ラスボス):半導体への挑戦Makeの最後の砦(ラスボス):半導体への挑戦
Makeの最後の砦(ラスボス):半導体への挑戦
 
[CEDEC2017] LINEゲームのセキュリティ診断手法
[CEDEC2017] LINEゲームのセキュリティ診断手法[CEDEC2017] LINEゲームのセキュリティ診断手法
[CEDEC2017] LINEゲームのセキュリティ診断手法
 
Slide
SlideSlide
Slide
 
Smart Tennis Lesson Serverless Design
Smart Tennis Lesson Serverless DesignSmart Tennis Lesson Serverless Design
Smart Tennis Lesson Serverless Design
 
GPUが100倍速いという神話をぶち殺せたらいいな ver.2013
GPUが100倍速いという神話をぶち殺せたらいいな ver.2013GPUが100倍速いという神話をぶち殺せたらいいな ver.2013
GPUが100倍速いという神話をぶち殺せたらいいな ver.2013
 
seccamp2012 チューター発表
seccamp2012 チューター発表seccamp2012 チューター発表
seccamp2012 チューター発表
 
集積回路が真の道具になるために
集積回路が真の道具になるために集積回路が真の道具になるために
集積回路が真の道具になるために
 
Bluetooth通信の 仕組みと活用法紹介
Bluetooth通信の仕組みと活用法紹介Bluetooth通信の仕組みと活用法紹介
Bluetooth通信の 仕組みと活用法紹介
 
道具としての半導体設計:Lチカを題材として
道具としての半導体設計:Lチカを題材として道具としての半導体設計:Lチカを題材として
道具としての半導体設計:Lチカを題材として
 
C++0x in programming competition
C++0x in programming competitionC++0x in programming competition
C++0x in programming competition
 
Windowsのパケットモニタ作成
Windowsのパケットモニタ作成Windowsのパケットモニタ作成
Windowsのパケットモニタ作成
 
Imaocande LT
Imaocande LTImaocande LT
Imaocande LT
 
Moony li pacsec-1.5_j4-truefinal
Moony li pacsec-1.5_j4-truefinalMoony li pacsec-1.5_j4-truefinal
Moony li pacsec-1.5_j4-truefinal
 
[CB16] (P)FACE :アップルのコアへ、そしてルート権限へのエクスプロイト by Moony Li & Jack Tang
[CB16] (P)FACE :アップルのコアへ、そしてルート権限へのエクスプロイト by Moony Li & Jack Tang[CB16] (P)FACE :アップルのコアへ、そしてルート権限へのエクスプロイト by Moony Li & Jack Tang
[CB16] (P)FACE :アップルのコアへ、そしてルート権限へのエクスプロイト by Moony Li & Jack Tang
 

More from Junichi Akita

M5Stack用のつよつよ系負荷を駆動するUnit群(スイッチサイエンス年度末大感謝祭 240223)
M5Stack用のつよつよ系負荷を駆動するUnit群(スイッチサイエンス年度末大感謝祭 240223)M5Stack用のつよつよ系負荷を駆動するUnit群(スイッチサイエンス年度末大感謝祭 240223)
M5Stack用のつよつよ系負荷を駆動するUnit群(スイッチサイエンス年度末大感謝祭 240223)Junichi Akita
 
M5Stackで脱出ゲームのギミックを作ってみた件(M5StackユーザーミーティングLT)
M5Stackで脱出ゲームのギミックを作ってみた件(M5StackユーザーミーティングLT)M5Stackで脱出ゲームのギミックを作ってみた件(M5StackユーザーミーティングLT)
M5Stackで脱出ゲームのギミックを作ってみた件(M5StackユーザーミーティングLT)Junichi Akita
 
つくってドヤると楽しい
つくってドヤると楽しいつくってドヤると楽しい
つくってドヤると楽しいJunichi Akita
 
日本の「ものづくり」の可能性:中国深センとの比較を通して
日本の「ものづくり」の可能性:中国深センとの比較を通して日本の「ものづくり」の可能性:中国深センとの比較を通して
日本の「ものづくり」の可能性:中国深センとの比較を通してJunichi Akita
 
分解のススメHyperの読みどころ
分解のススメHyperの読みどころ分解のススメHyperの読みどころ
分解のススメHyperの読みどころJunichi Akita
 
深センで半年間住んでMakeと研究をしてみた
深センで半年間住んでMakeと研究をしてみた深センで半年間住んでMakeと研究をしてみた
深センで半年間住んでMakeと研究をしてみたJunichi Akita
 
日本での電子回路の導入教育の可能性:中国との比較を通して
日本での電子回路の導入教育の可能性:中国との比較を通して日本での電子回路の導入教育の可能性:中国との比較を通して
日本での電子回路の導入教育の可能性:中国との比較を通してJunichi Akita
 
中国と深センでの半導体とRISC-V業界事情
中国と深センでの半導体とRISC-V業界事情中国と深センでの半導体とRISC-V業界事情
中国と深センでの半導体とRISC-V業界事情Junichi Akita
 
タイプライターを改造してキーボードを作ってみた
タイプライターを改造してキーボードを作ってみたタイプライターを改造してキーボードを作ってみた
タイプライターを改造してキーボードを作ってみたJunichi Akita
 
3Dプリンタを改造してチップマウンタを作ってみたら物理的にForkされた件
3Dプリンタを改造してチップマウンタを作ってみたら物理的にForkされた件3Dプリンタを改造してチップマウンタを作ってみたら物理的にForkされた件
3Dプリンタを改造してチップマウンタを作ってみたら物理的にForkされた件Junichi Akita
 
中国でスタックチャンに会ってみた
中国でスタックチャンに会ってみた中国でスタックチャンに会ってみた
中国でスタックチャンに会ってみたJunichi Akita
 
M5Stackでインターンしてみた
M5StackでインターンしてみたM5Stackでインターンしてみた
M5StackでインターンしてみたJunichi Akita
 
左手サブキーボードを作り続けてみた
左手サブキーボードを作り続けてみた左手サブキーボードを作り続けてみた
左手サブキーボードを作り続けてみたJunichi Akita
 
STM32F互換マイコン(自称を含む)を 軽く解析してみた
STM32F互換マイコン(自称を含む)を軽く解析してみたSTM32F互換マイコン(自称を含む)を軽く解析してみた
STM32F互換マイコン(自称を含む)を 軽く解析してみたJunichi Akita
 
基板設計の基礎知識と実践(別名:基板と仲良くなる方法)
基板設計の基礎知識と実践(別名:基板と仲良くなる方法)基板設計の基礎知識と実践(別名:基板と仲良くなる方法)
基板設計の基礎知識と実践(別名:基板と仲良くなる方法)Junichi Akita
 
深センで2ヶ月過ごしていろいろ試してみた
深センで2ヶ月過ごしていろいろ試してみた深センで2ヶ月過ごしていろいろ試してみた
深センで2ヶ月過ごしていろいろ試してみたJunichi Akita
 
Makeと半導体の境界
Makeと半導体の境界Makeと半導体の境界
Makeと半導体の境界Junichi Akita
 
CH551/2/8/9を炙ってみた
CH551/2/8/9を炙ってみたCH551/2/8/9を炙ってみた
CH551/2/8/9を炙ってみたJunichi Akita
 
うっかりチップマウンタを自作して薄い本を書いてみた
うっかりチップマウンタを自作して薄い本を書いてみたうっかりチップマウンタを自作して薄い本を書いてみた
うっかりチップマウンタを自作して薄い本を書いてみたJunichi Akita
 
多様な学生の教材としてしてのプロトタイピング用マイコンボードの可能性
多様な学生の教材としてしてのプロトタイピング用マイコンボードの可能性多様な学生の教材としてしてのプロトタイピング用マイコンボードの可能性
多様な学生の教材としてしてのプロトタイピング用マイコンボードの可能性Junichi Akita
 

More from Junichi Akita (20)

M5Stack用のつよつよ系負荷を駆動するUnit群(スイッチサイエンス年度末大感謝祭 240223)
M5Stack用のつよつよ系負荷を駆動するUnit群(スイッチサイエンス年度末大感謝祭 240223)M5Stack用のつよつよ系負荷を駆動するUnit群(スイッチサイエンス年度末大感謝祭 240223)
M5Stack用のつよつよ系負荷を駆動するUnit群(スイッチサイエンス年度末大感謝祭 240223)
 
M5Stackで脱出ゲームのギミックを作ってみた件(M5StackユーザーミーティングLT)
M5Stackで脱出ゲームのギミックを作ってみた件(M5StackユーザーミーティングLT)M5Stackで脱出ゲームのギミックを作ってみた件(M5StackユーザーミーティングLT)
M5Stackで脱出ゲームのギミックを作ってみた件(M5StackユーザーミーティングLT)
 
つくってドヤると楽しい
つくってドヤると楽しいつくってドヤると楽しい
つくってドヤると楽しい
 
日本の「ものづくり」の可能性:中国深センとの比較を通して
日本の「ものづくり」の可能性:中国深センとの比較を通して日本の「ものづくり」の可能性:中国深センとの比較を通して
日本の「ものづくり」の可能性:中国深センとの比較を通して
 
分解のススメHyperの読みどころ
分解のススメHyperの読みどころ分解のススメHyperの読みどころ
分解のススメHyperの読みどころ
 
深センで半年間住んでMakeと研究をしてみた
深センで半年間住んでMakeと研究をしてみた深センで半年間住んでMakeと研究をしてみた
深センで半年間住んでMakeと研究をしてみた
 
日本での電子回路の導入教育の可能性:中国との比較を通して
日本での電子回路の導入教育の可能性:中国との比較を通して日本での電子回路の導入教育の可能性:中国との比較を通して
日本での電子回路の導入教育の可能性:中国との比較を通して
 
中国と深センでの半導体とRISC-V業界事情
中国と深センでの半導体とRISC-V業界事情中国と深センでの半導体とRISC-V業界事情
中国と深センでの半導体とRISC-V業界事情
 
タイプライターを改造してキーボードを作ってみた
タイプライターを改造してキーボードを作ってみたタイプライターを改造してキーボードを作ってみた
タイプライターを改造してキーボードを作ってみた
 
3Dプリンタを改造してチップマウンタを作ってみたら物理的にForkされた件
3Dプリンタを改造してチップマウンタを作ってみたら物理的にForkされた件3Dプリンタを改造してチップマウンタを作ってみたら物理的にForkされた件
3Dプリンタを改造してチップマウンタを作ってみたら物理的にForkされた件
 
中国でスタックチャンに会ってみた
中国でスタックチャンに会ってみた中国でスタックチャンに会ってみた
中国でスタックチャンに会ってみた
 
M5Stackでインターンしてみた
M5StackでインターンしてみたM5Stackでインターンしてみた
M5Stackでインターンしてみた
 
左手サブキーボードを作り続けてみた
左手サブキーボードを作り続けてみた左手サブキーボードを作り続けてみた
左手サブキーボードを作り続けてみた
 
STM32F互換マイコン(自称を含む)を 軽く解析してみた
STM32F互換マイコン(自称を含む)を軽く解析してみたSTM32F互換マイコン(自称を含む)を軽く解析してみた
STM32F互換マイコン(自称を含む)を 軽く解析してみた
 
基板設計の基礎知識と実践(別名:基板と仲良くなる方法)
基板設計の基礎知識と実践(別名:基板と仲良くなる方法)基板設計の基礎知識と実践(別名:基板と仲良くなる方法)
基板設計の基礎知識と実践(別名:基板と仲良くなる方法)
 
深センで2ヶ月過ごしていろいろ試してみた
深センで2ヶ月過ごしていろいろ試してみた深センで2ヶ月過ごしていろいろ試してみた
深センで2ヶ月過ごしていろいろ試してみた
 
Makeと半導体の境界
Makeと半導体の境界Makeと半導体の境界
Makeと半導体の境界
 
CH551/2/8/9を炙ってみた
CH551/2/8/9を炙ってみたCH551/2/8/9を炙ってみた
CH551/2/8/9を炙ってみた
 
うっかりチップマウンタを自作して薄い本を書いてみた
うっかりチップマウンタを自作して薄い本を書いてみたうっかりチップマウンタを自作して薄い本を書いてみた
うっかりチップマウンタを自作して薄い本を書いてみた
 
多様な学生の教材としてしてのプロトタイピング用マイコンボードの可能性
多様な学生の教材としてしてのプロトタイピング用マイコンボードの可能性多様な学生の教材としてしてのプロトタイピング用マイコンボードの可能性
多様な学生の教材としてしてのプロトタイピング用マイコンボードの可能性
 

自作LSIコミュニティの可能性

  • 1. Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 自作LSIコミュニティの可能性 秋田純一(金沢大・電子情報学類) akita@ifdl.jp @akita11
  • 2. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 自己紹介  名古屋生まれ→博士号(‘98)(イメージセンサ)  金沢大(’98~’00・’04~)  公立はこだて未来大(’00~’04)  ’95〜’00:はこだて未来大 計画策定委員  本業:(機能つき)イメージセンサ  +半導体を使うデバイス・システム(←電子工少年)  好きな半田はPb:Sn=60:40  好きなプロセスは0.35μm(半導体) LSI(イメージセンサ)のレイアウト図 (プロッタ出力して目視チェック) チップと基板をつなぐ ワイヤーボンディング 基板設計 はんだ部屋
  • 3. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 自己紹介(おまけ)
  • 4. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 最近の秋葉原(あきば) 4 ※客層が変わってきている(こっちの) (昔)ロボコン高専生・電子工作マニア(おっさん) (今)↑+テクノ手芸女子、親子連れ、美大生 西餅「ハルロック」・1Ωより (週刊モーニングで連載/単行本)
  • 5. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ Make: 理工離れ?どこの世界の話? “Maker”の活動の広がり 実はみんな「作るのが大好き」 FabLab(レーザーカッター、3Dプリンタ等の 加工機をコアにしたコミュニティ) いままでは「技術が手元になかった」だけ 道具・技術が「民主化」されて、 使えるようになった MakerFaireTokyo2013の様子 「ハルロック」1巻1Ωより
  • 6. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ “Maker”から産業へ ロングテール:嗜好の多様化+それに応える産業 「本当に欲しいもの」が手に入る 実際に製造業でも Industry4.0も背景 小規模製造業、高い技術力 熱心なユーザ・ファン、ユニークな製品 市場調査+資金調達=CrowdFunding サプライチェーン・製造技術の活用 製造業におけるロングテールの具現化 「ハードウエア・スタートアップ」が続々 「大量生産型」の補完として定着 (C.アンダーソン「ロングテール」,早川書房 (2009)) 全体の40%
  • 7. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ なぜMakerが生まれたのか? 製造技術が「普及(道具化)」したから 技術がこなれてきた ノウハウがたまった ユーザの「幅」が広がった 生態系(ecosystem)を形成(事業として成立) Arduino←→無数のマイコンボードの違い? 使いやすさ+ユーザコミュニティ(主にオンライン) 7 ArduinoUno
  • 8. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 技術が「道具」になるには?  1993年頃に生まれる →2003年頃から普及(10年)  当初:食べ方???  料理番組・雑誌等での 調理例→定番キノコに  料理の「道具(素材)」になった (Wikipediaより) (農林水産省「平成20年度 農林水産物貿易円滑化推進事業 台湾・香港・シンガポール・タイ における品目別市場実態調査(生鮮きのこ)報告書」(林野庁経営課特用林産対策室 )より)
  • 9. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 技術が「道具」になるステップ 開発/発明される お店で買えるようになる 使い方が知られるようになる みんなが使うようになる それが「道具」となって、次のステップへ プロのみ マニア(ハイレベルアマチュア)向け だれでも
  • 10. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 「技術の普及」の結果:深圳の華強北 10 山寨(ShanZhai)の例(“iPhone nano”) ※FakeCopyではなく、プロダクトの 進化系。これが2週間で量産される 無限に続くパーツ屋/築地のような活気 “Used Mobile Phone Shop”の実体 パーツに分解 (BGAも) 路上で解体 店頭でリペア ※BGAも手はんだ ※ボール再生機あり ShenZhen HuaQiangBei 基板製造 + 部品(サプライチェーン) + ハードウエアスタートアップ + VC/アクセラレータ 深圳の生態系
  • 11. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 半導体の進化の歴史:ムーアの法則 ref: http://www.intel.com/jp/intel/museum/processor/index.htm 傾き:×約1.5/年 G.Mooreが1965年に論文[1]で述べる→C.Meadが「法則」と命名→「予測」→「指針(目標)」へ 素子を微細化する=いいことがたくさんある(性能↑、消費電力↓などなど) [1] G.E.Moore, "Cramming more components onto integrated circuits," IEEE Solid-State Circuit Newsletter, Vol.11, No.5, pp.33-35, 1965.
  • 12. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 「LED点滅(Lチカ)」のパラダイムシフト コスト面:マイコン○(「もったいなくない」) 機能面:マイコン○(多機能・仕様変更も容易) 「半導体(LSI)の低価格化」が起こした 「コンピュータ=部品」へのパラダイムシフト マイコン使用 部品点数=1 コスト:100円 発振回路(555) 部品点数=4 コスト:150円 while(1){ a = 1; sleep(1); a = 0; sleep(1); } ※さすがにPCではちょっと・・・
  • 13. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 集積回路は「道具」になっているか? 高性能な「汎用品」:道具になった (マイコン、FPGA、オペアンプ、・・・) 「専用品」(カスタムLSI)は?:現状、無理 例:学部1年生にLSIを作らせる? 「高いんだぞ・・・」「失敗したらシャレにならんぞ」 「ツールの使い方が難しいぞ」 「基礎知識(回路理論など)をいっぱい勉強しろ」 「ちゃんと動かすのは難しいぞ」 TATが長い(=チップが届くころには忘れている) 作れない→経験できない→学べない 13
  • 14. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 半導体は「道具」になっているか?:調査 https://www.youtube.com/watch?v=A188CYfuKQ0 http://www.nicovideo.jp/watch/sm23660093 CMOS 0.18um 5Al 2.5mm x 2.5mm RingOSC x 1001 T-FF (Div)
  • 15. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ LチカLSI動画:ニコ動でのコメント  こっから?  ニコ技界のTOKIO  ゲートの無駄遣い  ここから!!?  ひでえ、勿体ない使い方wwwww  マジかよ。レジストレベルの設計とか ガチすぎる。  無駄遣い過ぎるだろw  贅沢というかなんというか  え?まじでここからかよ」wwww」」  IC版FusionPCB的なところが現れれば・・・  (FPGAでは)いかんのか?  俺はFPGAで我慢することにする  いや、そこまでは必要ないです  量産品すらFPGA使う時代に専用LSI・・・  アマチュアはFPGAで良いんだよなぁ・・・w
  • 16. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 半導体を「つくる」ためのハードル 設計CAD 市販の業務用CAD: 高すぎ、高機能すぎ 製造方法 高すぎ、時間かかりすぎ(1000万円・半年) NDA(設計ルールなどのアクセス制限)が厳しすぎ ユーザ・コミュニティ 参入障壁:現状は専門家ばかり “How”の専門家は多いが、”Why/What”は皆無 例:IoT時代のTrillion Sensor←経済的な方策(設置・運用)は? 例:エナジーハーベストで動く永久センサノード←いずれ故障する
  • 17. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 半導体を道具にするために: MakeLSI: 情報収集・整理 フリーCADなど(けっこうある) NDAフリー・OpenSourceの環境で 仲間さがし 参加条件:特になし(アツい心) けっこういる(120名程度) プロ・経験者〜SWエンジニア〜主婦 http://ifdl.jp/make_lsi フリーのCAD
  • 18. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ MakeLSI: まずはやってみた 2015年7月~8月&2016年7月~8月 参加: 2015年:8人・9種類@2チップ(高専生~ギーク~元プロ) 2016年:11人・13種類@2チップ(高専生~プロ~主婦) IP蓄積が異様に速い(オープンソース/Github) Linuxのような、オープンソース&分散型IP開発の可能性?
  • 19. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 「道具」としての半導体を持つこと ふつうの情報工学の研究・・・「あるもの」を使う カメラ、Kinect、マイコン、FPGA、HoloLens・・・ 新技術で、一気にパラダイムが変わることがある 「LSIをつくれる」という道具 =「いまできること」という発想から脱却 Microsoft HoloLens
  • 20. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 「DIYマイコン」はどうか? ARM Cortex-M0 DesignStartプログラム 誰でも申請OK、評価目的でCortex-M0のHDLソース
  • 21. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 「自作Cortex-M0でLチカ」:概要 0: 23a0 movs r3, #160 ; 0xa0 2: 05db lsls r3, r3, #23 4: 4c0b ldr r4, [pc, #44] 6: 4f0c ldr r7, [pc, #48] 8: 2201 movs r2, #1 a: 601a str r2, [r3, #0] c: 2500 movs r5, #0 e: 6025 str r5, [r4, #0] 10: 2600 movs r6, #0 12: 3601 adds r6, #1 14: 42be cmp r6, r7 16: d1fc bne.n 12 <main+0x12> 18: 3501 adds r5, #1 1a: 2dff cmp r5, #255 ; 0xff 1c: d1f7 bne.n e <main+0xe> 1e: 2200 movs r2, #0 20: 601a str r2, [r3, #0] 22: 25ff movs r5, #255 ; 0xff 24: 2600 movs r6, #0 26: 3601 adds r6, #1 28: 42be cmp r6, r7 2a: d1fc bne.n 26 <main+0x26> 2c: 3d01 subs r5, #1 2e: 2d00 cmp r5, #0 30: d1f8 bne.n 24 <main+0x24> 32: e7e9 b.n 8 <main+0x8> 34: 50000004 38: 0000270f #define GPIO 0x50000000 #define PWMDUTY 0x50000001 #define WAIT 10000 // 3,000,000=0.3s / 256 -> 10,000 void main() { volatile unsigned int w; volatile unsigned int d; while(1){ *(volatile unsigned int *)GPIO = 0x0001; for (d = 0; d < 256; d++){ *(volatile unsigned int *)PWMDUTY = d; for (w = 0; w < WAIT; w++); } *(volatile unsigned int *)GPIO = 0x0000; for (d = 255; d >= 0; d--){ *(volatile unsigned int *)PWMDUTY = d; for (w = 0; w < WAIT; w++); } } } arm-gcc/gas VerilogHDL module imem(clk, addr, data2); input clk; input [31:0] addr; output [31:0] data2; reg [31:0] data, data2; // 0x00000000 - 0x1fffffff : code (0x00000000-0x000000c0: int.vec.) // code memory: little-endian (LSB=1st byte / MSB=2nd byte) wire [31:0] addr2; assign addr2 = {addr[31:2], 2'b00}; always @(addr2) begin case (addr2) 32'h00000000 : data <= 32'h0020000; // insital SP 32'h00000004 : data <= 32'h0000101; // reset (bit[0]=T) 32'h00000100 : data <= {16'h05db,16'h23a0}; 32'h00000104 : data <= {16'h4f0d,16'h4c0c}; 32'h00000108 : data <= {16'h601a,16'h2201}; 32'h0000010c : data <= {16'h6025,16'h2500}; 32'h00000110 : data <= {16'h3601,16'h2600}; 32'h00000114 : data <= {16'hd1fc,16'h42be}; 32'h00000118 : data <= {16'h2dff,16'h3501}; 32'h0000011c : data <= {16'h2200,16'hd1f7}; 32'h00000120 : data <= {16'h25ff,16'h601a}; 32'h00000124 : data <= {16'h2600,16'h6025}; 32'h00000128 : data <= {16'h42be,16'h3601}; 32'h0000012c : data <= {16'h3d01,16'hd1fc}; 32'h00000130 : data <= {16'hd1f7,16'h2d00}; 32'h00000134 : data <= {16'h0000,16'he7e8}; 32'h00000138 : data <= 32'h50000004; 32'h0000013c : data <= 32'd1999; default: data <= 32'h0; endcase end always @(posedge clk) begin data2 <= data; end endmodule 「Lチカ」&「Lほわ」 Thumb命令
  • 22. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 「自作Cortex-M0でLチカ」:メモリマップ
  • 23. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 「Lチカ専用Cortex-M0」:設計してみた CMOS 0.18um 5Al 0.55mm x 0.65mm ※このチップの設計は、東京大学大規模集積システム設計教育研究センターを通し、 日本ケイデンス株式会社、シノプシス株式会社研究センターの協力で行われたものです。 ※このチップの設計で使用したライブラリは、京都大学情報学研究科 田丸・小野寺研究室の 成果によるもので、京都工芸繊維大学 小林和淑教授によりリリースされたものです。 ※このチップの試作は、東京大学大規模集積システム設計教育研究センターを通し、 ローム(株)および凸版印刷(株)の協力で行われたものです。 Synopsys Design Compiler & IC Compiler
  • 24. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 「Lチカ専用Cortex-M0」:製造してみた ※基板はスイッチサイエンスPCBで製造 ※クロック周波数=10MHz
  • 25. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 「Lチカ専用Cortex-M0」:動作させてみた 詳細はこちら→https://www.youtube.com/watch?v=Ptb5kcw3QtY / niconico: sm30093578
  • 26. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ DIYマイコン:どう作る?ミニマルファブ 0.5インチウエハ・局所クリーン化・DLP露光 工程ごとの小型装置群 小ロットのLSI製造 加工寸法:1um程度 単TAT(1〜2日) pMOS, nMOS:OK →CMOS回路へ/MEMS 一部装置は既に販売開始 CMOS製造装置群&製造サービスはあと数年? 基板界での「P板.com」や「スイッチサイエンスPCB」に 似た位置づけ http://unit.aist.go.jp/neri/mini-sys/fabsystem/minimalfab.html
  • 27. 2017/4/22 Interface Device Laboratory, Kanazawa University http://ifdl.jp/ 1um?0.5in?いえいえ、けっこう使えます 1[um]/3Alプロセス・0.5inウエハに Cortex-M0コアが4ショットは入る カスタムなペリフェラル・アナログ・センサ・MEMS の混載も(これが数万円&1週間@1個から) ※0.18[um]/3Alでの配置配線結果の レイアウトデータ(GDS)を1/0.18=28倍に 拡大して作成