SlideShare ist ein Scribd-Unternehmen logo
1 von 6
Downloaden Sie, um offline zu lesen
International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013
DOI : 10.5121/ijmnct.2013.3401 01
SOC-Based Sensor Mote Design
Ali Elkateeb
University of Michigan-Dearborn, MI 48128, USA
elkateeb@umich.edu
ABSTRACT
In this paper, a development of sensor node for environment monitoring is described. The Reconfigurable
System-On-chip (RSOC) technology is used to integrate the node’s main components on Field-
Programmable Gate Array (FPGA) chip. The main components required to support the environmental
applications such as pixels sensor, reconfigurable processing core, and a tiny solar unit for recharging
node’s battery, are implemented on the node. The use of FPGA chips has made such nodes consume large
power. Therefore, nodes are provided with tiny rechargeable unit to recharge the nodes’ batteries. The
nodes should be installed at well-setting environmental applications, where nodes are carefully distributed
over the environmental applications field to be exposed to daylight, which will help recharge nodes’
batteries. Applications such as border control and forest fire monitoring can use such nodes at their
wireless sensor networks.
KEYWORDS
Wireless Sensor Network, FPGA, Hardware reconfigurations, Well-setting environmental applications
1. INTRODUCTION
Recent advances in wireless communications and electronics have enabled the development of
wireless sensor networks that use low-cost, low-power, multifunctional sensor nodes. These tiny
nodes design consist of sensing, computing, communication units, and some other application-
dependent units such as power generators and location finding units [1-3]. Such networks offer
economically viable solutions for applications that can be found in different settings such as
industry, military, environment, health, etc. [4, 5]. Sensor nodes are generally designed and
programmed to match the needs of the target applications before they are released to the field.
Many Wireless Sensor Networks (WSNs) are new and their requirements may not be fully
anticipated during the sensor networks design and development stage. Remotely adding or
modifying the design of sensor nodes to support new or modified software features required by
target applications can be currently achieved using software reprogramming capability, which is
supported with existing WSNs [6, 7, 8]. However, remote hardware reconfiguration capability
could be very useful for some WSNs applications. To support this capability, sensor nodes will
require using Field Programmable Gate array (FPGA) technology at their design. The WSNs
nodes are not using FPGA yet in their design due to the large power consumption of this
technology. However, the use of FPGA will provide nodes with important design features of
flexibility and reconfigurable processing unit.
This research is to foster the development of new and novel wireless sensor node for next-
generation WSNs infrastructure. The proposed sensor node will be unique in providing remote
software reprogramming and hardware reconfiguration capabilities. We will use the term ‘RR-
node’ whenever a reference has been made to the proposed node’s infrastructure. Using the
proposed RR-node in next-generation WSNs will produce flexible infrastructures that will
International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013
2
provide remote design modification even after the deployment of WSNs on the sensing field. In
addition, the RR-node can be used for other application fields such as vehicle electronics, mobile
phones, security systems, etc.
There are some design challenges associated with the RR-node development such as provide RR-
node with remote hardware reconfiguration capability, reducing high power consumption due to
the use of FPGA Technology in node design, develop a library for RR-node components, and
developing WSN prototype to provide a proof-of-concept for using RR-nodes in supporting a
real-world applications such as security surveillance, border control, forest fire monitoring, etc. In
this paper, we will address some of these challenges and specifically using FPGA technology and
pixels sensor in node design for monitoring applications. The developed of flexible FPGA-based
node infrastructure will be important for advancing research in the area of WSNs remote sensing,
development, and applications.
2.DESIGN CONCEPT
Some of the existing WSNs have the support for software reprogramming capability, where the
software modifying on individual nodes can be achieved after the network has been deployed and
initialized [9, 10]. However, the remote support for both software reprogramming and hardware
reconfiguration capabilities has not been available in existing WSNs’ nodes. Therefore, in this
RR-node development project, the focus will be given only to the hardware reconfiguration since
it is a new WSNs capability that has not been investigated thoroughly yet. The design and the
development of the proposed RR-node instrument is new, novel, and crucial to explore the use
and the impact of the RR-node on next-generation WSNs and applications, which require on-field
system modifications. The development of the proposed RR-node will provide WSNs with sensor
nodes that have a unique fully dynamically adaptable feature. This feature will provide nodes
design with adjustable capability to their surrounding environment even after their deployment on
the sensing field.
Supporting the proposed RR-node instrument with hardware reconfigurations capability will
require the use of FPGA technology in nodes design. The existing WSNs nodes have no FPGA in
their designs due to the main drawback of the FPGA, which is high power consumption.
However, the effect of this problem can be reduced and may be eliminated by using modern
FPGA chips that consume low power such as Spartan 3L, Actel gloo, etc. Also, the partial
reconfiguration that supported in some FPGA design tools such as Xilinx ISE, can reduce the size
of the design bit stream file that will support fast remote hardware reconfiguration with less
power consumption.
In addition, the use of low-cost and tiny solar units in this project to recharge the RR-node
batteries will elevate the drawback for the large power consumption of using FPGA in WSNs.
Increasing the cost of the RR-node due to the use of solar unit with each node might not be
acceptable in low-cost WSNs. Nevertheless, the RR-node increased cost can be acceptable for
some other WSNs applications, which require high performance processing nodes and/or provide
nodes’ design adaptability to their surrounding applications. The environmental monitoring
applications such as forest fire detection, homeland security, border control, etc., are some of the
potential applications that could use the RR-nodes.
The existing nodes’ wireless channel that used for WSNs routing will also be used to support the
RR-node design with remote hardware reconfiguration capability. As most of the commercially
available FPGA chips include a JTAG port to download the configuration file, the use of wireless
JTAG unit will be used to provide RR-node design with hardware reconfiguration capability.
International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013
3
3.RR-NODE DEVELOPMENT
The main components of RR-node are the soft-core MIPS processor, pixel sensor interface, and
the wireless JTAG unit controller that are designed using VHDL language and all integrated on a
single Xilinx FPGA Spartan 3 chip. All other off-the-shelf nodes’ components such as the pixels
sensor, wireless transceiver, memory, battery unit, communications antenna, CPLD chip for
JTAG controller, and the Xilinx FPGA chip are all integrated on a small PCB board to produce
the prototype RR-node.
The MIPS processor used in RR-node design is a soft-core architecture which is designed to be
optimized, flexible, and efficient for the need of the WSN application. The instructions set and the
architecture of the soft-core MIPS processor can be optimized to the needs of the target WSNs
applications through the use of hardware reconfiguration capability provided in the design RR-
node through its wireless channel. The developed soft-core processor performance provides 10
MIPS, which is efficient to meet the processing needs most of the target applications [11, 12]. In
addition, the soft core processor has a flexible design that supports remote hardware modification
on the applications field. The processing core is small and can be integrated on the FPGA chip
with other required RR-node components [Figure 1].
`
Figure 1: Developed Soft Core Processor Architecture for RR- Node
To minimize the power consumption required to support the hardware reconfiguration of WSNs
node, the size of the bit stream file for the reconfiguration component using partial
reconfiguration approach and data compression provided by the FPGA design tools must be
minimized. We have evaluated the hardware reconfiguration that performed to adjust the data
path for the ALU and RF from 16-bit to 32-bit. The VHDL code for these two components was
synthesized using Xilinx ISE design tools for Xilinx SPARTAN 3 chip. The default bit stream file
size produced by the Xilinx ISE design tools for each of these components is 344,468 bytes.
However, using the file compression option provided by Xilinx design tools, the bit stream file
size for both components was reduced significantly as shown in Table 1.
The transfer time for the bit stream design files for the ALU and RF components produced to
reconfigure the soft-core processor designed for Spartan device XA3S700A was found to be 6.1
Address bus
Instruction Address
Data bus
Instruction /
Data
Memory
Instruction
Register
Register
File ALU
out
buffer
CU
PC A
L
U
EPC
International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013
4
and 35.5 seconds respectively. Clearly, the transfer time for the hardware reconfigurable
components was large due to the use of slow transceiver that provides 64Kbit/sec.
This measurement was based on a design of a 32-bit ALU that can process 15 different operations
and RF with 32 registers where each register has 32-bit. The design bit stream file for each
component was produced using VHDL synthesizer of the Xilinx ISE design tools and transferred
using a simple data packet frame format, which has 8-bit header and 8-bit trailer, 8-byte size for
the hardware reconfiguration data transfer, and a CRC field. Such timing requirement for
upgrading the processor design between 16 and 32-bit architecture is useful to evaluate the
amount of time that required upgrading the soft-core processor. Such upgrading is important to
support new application’s features, which was not supported by processor when the RR-node
deployed on the application field.
Table 1:
ALU and RF bit stream file size
The developed node has a CMOS pixels sensor to detect moving object appeared in the captured
image, which can be used to support monitoring applications such as security surveillance. The
design bit stream file of the pixels sensor interface was found to be 45 Kbytes, which means the
hardware configuration of this sensor can be done in 7 seconds by using the low-speed
transceiver. The remote hardware reconfiguration can be used to activate the sensor to capture
and analyze image by the developed MIPS processor and to provide changes to pixels sensor
operation based on the surrounding environment such as reconfigure the pixels sensor from video
capturing to still image mode or change the capturing from black and white to color, if the RR-
node supported with two different kind of pixels sensor.
The RR-node architecture is shown in Figure 2. The FPGA chip is including the soft-core
processor; Pixels sensor and flash memory interfaces, and the JTAG controller. All other off-the-
shelf components such as the CMOS pixels sensor, memory, solar unit, and node’s batteries are
placed along with the FPGA Spartan 3 chip on RR-node’s PCB board.
16 bit, 16 register 32 bit, 32 register
Component ALU RF ALU RF
SPARTAN 3A
Device:XA3S700A
40KB 54KB 43KB 281KB
International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013
5
Figure 2RR-node architecture
4.CONCLUSIONS
The RR-node is designed to support remote hardware reconfiguration in addition to remote
software reprogramming to provide a full flexible node design. Such node can provide a remote
design modification that support nodes design adjusting to the surrounding applications. The use
of partial reconfiguration and file compression techniques in addition to the use of tiny solar unit
to node’s battery charging can overcome the high power consumption generated by the use of
FPGA chip in the proposed sensor node. The evaluation for hardware modification to some of
components used in RR-node design has shown that some node features can be upgraded in
acceptable amount of time even when a slow wireless transceiver is used.
REFERENCES
[1] C. Intanagonwiwat, R. Govindan, and D. Estrin, “Directed Diffusion: A Scalable and Robust
Communication Paradigm for Sensor Networks,” Proc. ACM MobilCom’00, Boston, MA, 2000, pp.
56-67.
[2] G. J. Pottie and W. J. Kaiser, “Wireless Integrated Network Sensors,” Commun. ACM, vol. 43, no. 5,
May 2000, pp. 551-558.
[3] J. M. Kahn, R. H. Katz, and K. S. Pister, “Next Century Challenges: Mobile Networking for Smart
Dust,” Proc. ACM MobiCom’99, Washington, DC, 1999, pp. 271-278.
[4] I. Akyildiz, W. Su, Y. Sankarasubramaniam, and E. Cayirci, “A Survey on Sensor Networks,” IEEE
Communications Magazine, August 2002, pp. 102-114.
[5] D. Culler, D. Estrin, and M. Srivastava, “Overview of Sensor Networks”, IEEE Computer, August
2004, pp.41-49.
[6] Simon Han, and et. Sl., Reconfigurable Sensor Networks with SOS, UCLA Electrical Engineering
Department Annual Research Review (ARR) for 2004, University of California, October 2004.
[7] Philip Levis, David Culler, “Maté: a tiny virtual machine for sensor networks”, Proceedings of the
10th international conference on Architectural support for programming languages and operating
systems, New York, NY, USA 2002.
Soft core MIPS
processor
Sensor
Interface
JTAG
controller
Flash memory
interface
JTAG controller
Flash
memory
Transceiver
CMOS pixels
sensorFPGA chip
JTAG
signals
International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013
6
[8] A. Boulis, C. Han, and M. Srivastava, “Design and implementation of a framework for efficient and
programmable sensor networks”, Proceedings of the first International Conference on Mobile
Systems, Applications, and Services (MobiSys 2003), 2003.
[9] Simon Han, and et. Sl., Reconfigurable Sensor Networks with SOS, UCLA Electrical Engineering
Department Annual Research Review (ARR) for 2004 , University of California, Los An , October
2004.
[10] http://www.sics.se/contiki/
[11] Ali El Kateeb, R. Aiyappa, and L. Alazzawi, “Sensor network processor”, Canadian electrical and
Computer Engineering Conference, May 2008, pp1031-1034.
[12] Ali El Kateeb, Aiyappa Ramesh, and Lubna Azzawi, “ Wireless Sensor Nodes Processor Architecture
and Design”, the 22nd IEEE International Conference on Advanced Information Networking and
Applications, March 2008, Japan, pp 892-897.

Weitere ähnliche Inhalte

Was ist angesagt?

An Ultra-Low Cost Solution based on Power-Line Communication
An Ultra-Low Cost Solution based on Power-Line CommunicationAn Ultra-Low Cost Solution based on Power-Line Communication
An Ultra-Low Cost Solution based on Power-Line CommunicationValerio Aisa
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)inventionjournals
 
2018 Intel AI Developer Conference Keynote
2018 Intel AI Developer Conference Keynote2018 Intel AI Developer Conference Keynote
2018 Intel AI Developer Conference KeynoteDESMOND YUEN
 
Fpga implementation of multilayer feed forward neural network architecture us...
Fpga implementation of multilayer feed forward neural network architecture us...Fpga implementation of multilayer feed forward neural network architecture us...
Fpga implementation of multilayer feed forward neural network architecture us...Ece Rljit
 
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...IRJET Journal
 
HACKING THE WIRELESS WORD WITH SOFTWARE DEFINED RADIO
HACKING THE WIRELESS WORD WITH SOFTWARE DEFINED RADIOHACKING THE WIRELESS WORD WITH SOFTWARE DEFINED RADIO
HACKING THE WIRELESS WORD WITH SOFTWARE DEFINED RADIOchandanpatelvns1947
 
iaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdliaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdlIaetsd Iaetsd
 
Architecture for Smart Grid based Consumer End Solution
Architecture for Smart Grid based Consumer End SolutionArchitecture for Smart Grid based Consumer End Solution
Architecture for Smart Grid based Consumer End SolutionShashank Dhariwal
 
Increasing Throughput per Node for Content Delivery Networks
Increasing Throughput per Node for Content Delivery NetworksIncreasing Throughput per Node for Content Delivery Networks
Increasing Throughput per Node for Content Delivery NetworksDESMOND YUEN
 
Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...eSAT Journals
 
Runtime Reconfigurable Network-on-chips for FPGA-based Systems
Runtime Reconfigurable Network-on-chips for FPGA-based SystemsRuntime Reconfigurable Network-on-chips for FPGA-based Systems
Runtime Reconfigurable Network-on-chips for FPGA-based SystemsMugdha2289
 
Ethernet base divice control
Ethernet base divice controlEthernet base divice control
Ethernet base divice controlBhushan Deore
 
FFM_–_Technical_Brief_–_Network_Solutions_for_Intelligence_Surveillance_and_R...
FFM_–_Technical_Brief_–_Network_Solutions_for_Intelligence_Surveillance_and_R...FFM_–_Technical_Brief_–_Network_Solutions_for_Intelligence_Surveillance_and_R...
FFM_–_Technical_Brief_–_Network_Solutions_for_Intelligence_Surveillance_and_R...Vince Garr
 
Wi-Fi Is a Power Guzzler. New Multi-Protocol Modules May Change the Game of B...
Wi-Fi Is a Power Guzzler. New Multi-Protocol Modules May Change the Game of B...Wi-Fi Is a Power Guzzler. New Multi-Protocol Modules May Change the Game of B...
Wi-Fi Is a Power Guzzler. New Multi-Protocol Modules May Change the Game of B...Abaram Network Solutions
 
Performance analysis of gesture controlled robotic car
Performance analysis of gesture controlled robotic carPerformance analysis of gesture controlled robotic car
Performance analysis of gesture controlled robotic careSAT Journals
 

Was ist angesagt? (19)

Jg3515961599
Jg3515961599Jg3515961599
Jg3515961599
 
An Ultra-Low Cost Solution based on Power-Line Communication
An Ultra-Low Cost Solution based on Power-Line CommunicationAn Ultra-Low Cost Solution based on Power-Line Communication
An Ultra-Low Cost Solution based on Power-Line Communication
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
2018 Intel AI Developer Conference Keynote
2018 Intel AI Developer Conference Keynote2018 Intel AI Developer Conference Keynote
2018 Intel AI Developer Conference Keynote
 
Fpga implementation of multilayer feed forward neural network architecture us...
Fpga implementation of multilayer feed forward neural network architecture us...Fpga implementation of multilayer feed forward neural network architecture us...
Fpga implementation of multilayer feed forward neural network architecture us...
 
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
 
HACKING THE WIRELESS WORD WITH SOFTWARE DEFINED RADIO
HACKING THE WIRELESS WORD WITH SOFTWARE DEFINED RADIOHACKING THE WIRELESS WORD WITH SOFTWARE DEFINED RADIO
HACKING THE WIRELESS WORD WITH SOFTWARE DEFINED RADIO
 
iaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdliaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdl
 
Architecture for Smart Grid based Consumer End Solution
Architecture for Smart Grid based Consumer End SolutionArchitecture for Smart Grid based Consumer End Solution
Architecture for Smart Grid based Consumer End Solution
 
Increasing Throughput per Node for Content Delivery Networks
Increasing Throughput per Node for Content Delivery NetworksIncreasing Throughput per Node for Content Delivery Networks
Increasing Throughput per Node for Content Delivery Networks
 
Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...
 
Runtime Reconfigurable Network-on-chips for FPGA-based Systems
Runtime Reconfigurable Network-on-chips for FPGA-based SystemsRuntime Reconfigurable Network-on-chips for FPGA-based Systems
Runtime Reconfigurable Network-on-chips for FPGA-based Systems
 
Ijecet 06 08_003
Ijecet 06 08_003Ijecet 06 08_003
Ijecet 06 08_003
 
Ethernet base divice control
Ethernet base divice controlEthernet base divice control
Ethernet base divice control
 
FFM_–_Technical_Brief_–_Network_Solutions_for_Intelligence_Surveillance_and_R...
FFM_–_Technical_Brief_–_Network_Solutions_for_Intelligence_Surveillance_and_R...FFM_–_Technical_Brief_–_Network_Solutions_for_Intelligence_Surveillance_and_R...
FFM_–_Technical_Brief_–_Network_Solutions_for_Intelligence_Surveillance_and_R...
 
Wi-Fi Is a Power Guzzler. New Multi-Protocol Modules May Change the Game of B...
Wi-Fi Is a Power Guzzler. New Multi-Protocol Modules May Change the Game of B...Wi-Fi Is a Power Guzzler. New Multi-Protocol Modules May Change the Game of B...
Wi-Fi Is a Power Guzzler. New Multi-Protocol Modules May Change the Game of B...
 
Publication
PublicationPublication
Publication
 
Wireless Sensor Network
Wireless Sensor NetworkWireless Sensor Network
Wireless Sensor Network
 
Performance analysis of gesture controlled robotic car
Performance analysis of gesture controlled robotic carPerformance analysis of gesture controlled robotic car
Performance analysis of gesture controlled robotic car
 

Andere mochten auch

Conscientizao ambiental-28227
Conscientizao ambiental-28227Conscientizao ambiental-28227
Conscientizao ambiental-28227Kelvin Oliveira
 
Qué es la diversidad biológica
Qué es la diversidad biológicaQué es la diversidad biológica
Qué es la diversidad biológica98431874
 
Exitatriz Com Escova
Exitatriz Com EscovaExitatriz Com Escova
Exitatriz Com Escovaescola
 

Andere mochten auch (8)

Trabajit000 de eilencita )
Trabajit000 de eilencita )Trabajit000 de eilencita )
Trabajit000 de eilencita )
 
A minha vida é do mestre
A minha vida é do mestreA minha vida é do mestre
A minha vida é do mestre
 
Lets
LetsLets
Lets
 
Mel mariano
Mel marianoMel mariano
Mel mariano
 
Conscientizao ambiental-28227
Conscientizao ambiental-28227Conscientizao ambiental-28227
Conscientizao ambiental-28227
 
Qué es la diversidad biológica
Qué es la diversidad biológicaQué es la diversidad biológica
Qué es la diversidad biológica
 
23
2323
23
 
Exitatriz Com Escova
Exitatriz Com EscovaExitatriz Com Escova
Exitatriz Com Escova
 

Ähnlich wie SOC-Based Sensor Mote Design

Smartphone fpga based balloon payload using cots components
Smartphone fpga based balloon payload using cots componentsSmartphone fpga based balloon payload using cots components
Smartphone fpga based balloon payload using cots componentseSAT Journals
 
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGAA LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGAIRJET Journal
 
A Survey on System-On-Chip Bus Protocols
A Survey on System-On-Chip Bus ProtocolsA Survey on System-On-Chip Bus Protocols
A Survey on System-On-Chip Bus ProtocolsIRJET Journal
 
Architecture of a novel configurable
Architecture of a novel configurableArchitecture of a novel configurable
Architecture of a novel configurableVLSICS Design
 
37248136-Nano-Technology.pdf
37248136-Nano-Technology.pdf37248136-Nano-Technology.pdf
37248136-Nano-Technology.pdfTB107thippeswamyM
 
ETHERNET PACKET PROCESSOR FOR SOC APPLICATION
ETHERNET PACKET PROCESSOR FOR SOC APPLICATIONETHERNET PACKET PROCESSOR FOR SOC APPLICATION
ETHERNET PACKET PROCESSOR FOR SOC APPLICATIONcscpconf
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) ijceronline
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 
Design of NFC System for Low Power Applications
Design of NFC System for Low Power ApplicationsDesign of NFC System for Low Power Applications
Design of NFC System for Low Power ApplicationsIRJET Journal
 
IRJET- Flexible DSP Accelerator Architecture using Carry Lookahead Tree
IRJET- Flexible DSP Accelerator Architecture using Carry Lookahead TreeIRJET- Flexible DSP Accelerator Architecture using Carry Lookahead Tree
IRJET- Flexible DSP Accelerator Architecture using Carry Lookahead TreeIRJET Journal
 
Prediction of Wireless Sensor Network and Attack using Machine Learning Techn...
Prediction of Wireless Sensor Network and Attack using Machine Learning Techn...Prediction of Wireless Sensor Network and Attack using Machine Learning Techn...
Prediction of Wireless Sensor Network and Attack using Machine Learning Techn...IRJET Journal
 
IRJET- FPGA based Controller Design for Mobile Robots
IRJET- FPGA based Controller Design for Mobile RobotsIRJET- FPGA based Controller Design for Mobile Robots
IRJET- FPGA based Controller Design for Mobile RobotsIRJET Journal
 
Fpga based motor controller
Fpga based motor controllerFpga based motor controller
Fpga based motor controllerUday Wankar
 
Iaetsd flexible and reconfigurable soc for sensor network under zynq processor
Iaetsd flexible and reconfigurable soc for sensor network under zynq processorIaetsd flexible and reconfigurable soc for sensor network under zynq processor
Iaetsd flexible and reconfigurable soc for sensor network under zynq processorIaetsd Iaetsd
 
Small form factor cognitive radio implemented via fpga partial reconfiguratio...
Small form factor cognitive radio implemented via fpga partial reconfiguratio...Small form factor cognitive radio implemented via fpga partial reconfiguratio...
Small form factor cognitive radio implemented via fpga partial reconfiguratio...Roberto Uribeetxeberria
 
978-1-4577-1343-912$26.00 ©2014 IEEE Reliability an.docx
978-1-4577-1343-912$26.00 ©2014 IEEE  Reliability an.docx978-1-4577-1343-912$26.00 ©2014 IEEE  Reliability an.docx
978-1-4577-1343-912$26.00 ©2014 IEEE Reliability an.docxevonnehoggarth79783
 
IRJET-Protection of Buildings from Saltpetre
IRJET-Protection of Buildings from SaltpetreIRJET-Protection of Buildings from Saltpetre
IRJET-Protection of Buildings from SaltpetreIRJET Journal
 

Ähnlich wie SOC-Based Sensor Mote Design (20)

Smartphone fpga based balloon payload using cots components
Smartphone fpga based balloon payload using cots componentsSmartphone fpga based balloon payload using cots components
Smartphone fpga based balloon payload using cots components
 
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGAA LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
 
A Survey on System-On-Chip Bus Protocols
A Survey on System-On-Chip Bus ProtocolsA Survey on System-On-Chip Bus Protocols
A Survey on System-On-Chip Bus Protocols
 
Architecture of a novel configurable
Architecture of a novel configurableArchitecture of a novel configurable
Architecture of a novel configurable
 
37248136-Nano-Technology.pdf
37248136-Nano-Technology.pdf37248136-Nano-Technology.pdf
37248136-Nano-Technology.pdf
 
Fpg as 11 body
Fpg as 11 bodyFpg as 11 body
Fpg as 11 body
 
An efficient high performance reconfigurable canonical sign digit architectu...
An efficient high performance reconfigurable canonical sign  digit architectu...An efficient high performance reconfigurable canonical sign  digit architectu...
An efficient high performance reconfigurable canonical sign digit architectu...
 
ETHERNET PACKET PROCESSOR FOR SOC APPLICATION
ETHERNET PACKET PROCESSOR FOR SOC APPLICATIONETHERNET PACKET PROCESSOR FOR SOC APPLICATION
ETHERNET PACKET PROCESSOR FOR SOC APPLICATION
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Design of NFC System for Low Power Applications
Design of NFC System for Low Power ApplicationsDesign of NFC System for Low Power Applications
Design of NFC System for Low Power Applications
 
IRJET- Flexible DSP Accelerator Architecture using Carry Lookahead Tree
IRJET- Flexible DSP Accelerator Architecture using Carry Lookahead TreeIRJET- Flexible DSP Accelerator Architecture using Carry Lookahead Tree
IRJET- Flexible DSP Accelerator Architecture using Carry Lookahead Tree
 
Fu3310321039
Fu3310321039Fu3310321039
Fu3310321039
 
Prediction of Wireless Sensor Network and Attack using Machine Learning Techn...
Prediction of Wireless Sensor Network and Attack using Machine Learning Techn...Prediction of Wireless Sensor Network and Attack using Machine Learning Techn...
Prediction of Wireless Sensor Network and Attack using Machine Learning Techn...
 
IRJET- FPGA based Controller Design for Mobile Robots
IRJET- FPGA based Controller Design for Mobile RobotsIRJET- FPGA based Controller Design for Mobile Robots
IRJET- FPGA based Controller Design for Mobile Robots
 
Fpga based motor controller
Fpga based motor controllerFpga based motor controller
Fpga based motor controller
 
Iaetsd flexible and reconfigurable soc for sensor network under zynq processor
Iaetsd flexible and reconfigurable soc for sensor network under zynq processorIaetsd flexible and reconfigurable soc for sensor network under zynq processor
Iaetsd flexible and reconfigurable soc for sensor network under zynq processor
 
Small form factor cognitive radio implemented via fpga partial reconfiguratio...
Small form factor cognitive radio implemented via fpga partial reconfiguratio...Small form factor cognitive radio implemented via fpga partial reconfiguratio...
Small form factor cognitive radio implemented via fpga partial reconfiguratio...
 
978-1-4577-1343-912$26.00 ©2014 IEEE Reliability an.docx
978-1-4577-1343-912$26.00 ©2014 IEEE  Reliability an.docx978-1-4577-1343-912$26.00 ©2014 IEEE  Reliability an.docx
978-1-4577-1343-912$26.00 ©2014 IEEE Reliability an.docx
 
IRJET-Protection of Buildings from Saltpetre
IRJET-Protection of Buildings from SaltpetreIRJET-Protection of Buildings from Saltpetre
IRJET-Protection of Buildings from Saltpetre
 

Kürzlich hochgeladen

UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...UbiTrack UK
 
UiPath Platform: The Backend Engine Powering Your Automation - Session 1
UiPath Platform: The Backend Engine Powering Your Automation - Session 1UiPath Platform: The Backend Engine Powering Your Automation - Session 1
UiPath Platform: The Backend Engine Powering Your Automation - Session 1DianaGray10
 
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdfUiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdfDianaGray10
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureEric D. Schabell
 
UiPath Community: AI for UiPath Automation Developers
UiPath Community: AI for UiPath Automation DevelopersUiPath Community: AI for UiPath Automation Developers
UiPath Community: AI for UiPath Automation DevelopersUiPathCommunity
 
Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )Brian Pichman
 
Cybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptxCybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptxGDSC PJATK
 
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfJamie (Taka) Wang
 
UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7DianaGray10
 
ADOPTING WEB 3 FOR YOUR BUSINESS: A STEP-BY-STEP GUIDE
ADOPTING WEB 3 FOR YOUR BUSINESS: A STEP-BY-STEP GUIDEADOPTING WEB 3 FOR YOUR BUSINESS: A STEP-BY-STEP GUIDE
ADOPTING WEB 3 FOR YOUR BUSINESS: A STEP-BY-STEP GUIDELiveplex
 
Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Adtran
 
Comparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and IstioComparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and IstioChristian Posta
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024D Cloud Solutions
 
Introduction to Matsuo Laboratory (ENG).pptx
Introduction to Matsuo Laboratory (ENG).pptxIntroduction to Matsuo Laboratory (ENG).pptx
Introduction to Matsuo Laboratory (ENG).pptxMatsuo Lab
 
AI You Can Trust - Ensuring Success with Data Integrity Webinar
AI You Can Trust - Ensuring Success with Data Integrity WebinarAI You Can Trust - Ensuring Success with Data Integrity Webinar
AI You Can Trust - Ensuring Success with Data Integrity WebinarPrecisely
 
COMPUTER 10 Lesson 8 - Building a Website
COMPUTER 10 Lesson 8 - Building a WebsiteCOMPUTER 10 Lesson 8 - Building a Website
COMPUTER 10 Lesson 8 - Building a Websitedgelyza
 
Building AI-Driven Apps Using Semantic Kernel.pptx
Building AI-Driven Apps Using Semantic Kernel.pptxBuilding AI-Driven Apps Using Semantic Kernel.pptx
Building AI-Driven Apps Using Semantic Kernel.pptxUdaiappa Ramachandran
 
Secure your environment with UiPath and CyberArk technologies - Session 1
Secure your environment with UiPath and CyberArk technologies - Session 1Secure your environment with UiPath and CyberArk technologies - Session 1
Secure your environment with UiPath and CyberArk technologies - Session 1DianaGray10
 

Kürzlich hochgeladen (20)

UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
 
UiPath Platform: The Backend Engine Powering Your Automation - Session 1
UiPath Platform: The Backend Engine Powering Your Automation - Session 1UiPath Platform: The Backend Engine Powering Your Automation - Session 1
UiPath Platform: The Backend Engine Powering Your Automation - Session 1
 
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdfUiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability Adventure
 
UiPath Community: AI for UiPath Automation Developers
UiPath Community: AI for UiPath Automation DevelopersUiPath Community: AI for UiPath Automation Developers
UiPath Community: AI for UiPath Automation Developers
 
20230104 - machine vision
20230104 - machine vision20230104 - machine vision
20230104 - machine vision
 
Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )
 
Cybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptxCybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptx
 
201610817 - edge part1
201610817 - edge part1201610817 - edge part1
201610817 - edge part1
 
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
 
UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7
 
ADOPTING WEB 3 FOR YOUR BUSINESS: A STEP-BY-STEP GUIDE
ADOPTING WEB 3 FOR YOUR BUSINESS: A STEP-BY-STEP GUIDEADOPTING WEB 3 FOR YOUR BUSINESS: A STEP-BY-STEP GUIDE
ADOPTING WEB 3 FOR YOUR BUSINESS: A STEP-BY-STEP GUIDE
 
Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™
 
Comparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and IstioComparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and Istio
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024
 
Introduction to Matsuo Laboratory (ENG).pptx
Introduction to Matsuo Laboratory (ENG).pptxIntroduction to Matsuo Laboratory (ENG).pptx
Introduction to Matsuo Laboratory (ENG).pptx
 
AI You Can Trust - Ensuring Success with Data Integrity Webinar
AI You Can Trust - Ensuring Success with Data Integrity WebinarAI You Can Trust - Ensuring Success with Data Integrity Webinar
AI You Can Trust - Ensuring Success with Data Integrity Webinar
 
COMPUTER 10 Lesson 8 - Building a Website
COMPUTER 10 Lesson 8 - Building a WebsiteCOMPUTER 10 Lesson 8 - Building a Website
COMPUTER 10 Lesson 8 - Building a Website
 
Building AI-Driven Apps Using Semantic Kernel.pptx
Building AI-Driven Apps Using Semantic Kernel.pptxBuilding AI-Driven Apps Using Semantic Kernel.pptx
Building AI-Driven Apps Using Semantic Kernel.pptx
 
Secure your environment with UiPath and CyberArk technologies - Session 1
Secure your environment with UiPath and CyberArk technologies - Session 1Secure your environment with UiPath and CyberArk technologies - Session 1
Secure your environment with UiPath and CyberArk technologies - Session 1
 

SOC-Based Sensor Mote Design

  • 1. International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013 DOI : 10.5121/ijmnct.2013.3401 01 SOC-Based Sensor Mote Design Ali Elkateeb University of Michigan-Dearborn, MI 48128, USA elkateeb@umich.edu ABSTRACT In this paper, a development of sensor node for environment monitoring is described. The Reconfigurable System-On-chip (RSOC) technology is used to integrate the node’s main components on Field- Programmable Gate Array (FPGA) chip. The main components required to support the environmental applications such as pixels sensor, reconfigurable processing core, and a tiny solar unit for recharging node’s battery, are implemented on the node. The use of FPGA chips has made such nodes consume large power. Therefore, nodes are provided with tiny rechargeable unit to recharge the nodes’ batteries. The nodes should be installed at well-setting environmental applications, where nodes are carefully distributed over the environmental applications field to be exposed to daylight, which will help recharge nodes’ batteries. Applications such as border control and forest fire monitoring can use such nodes at their wireless sensor networks. KEYWORDS Wireless Sensor Network, FPGA, Hardware reconfigurations, Well-setting environmental applications 1. INTRODUCTION Recent advances in wireless communications and electronics have enabled the development of wireless sensor networks that use low-cost, low-power, multifunctional sensor nodes. These tiny nodes design consist of sensing, computing, communication units, and some other application- dependent units such as power generators and location finding units [1-3]. Such networks offer economically viable solutions for applications that can be found in different settings such as industry, military, environment, health, etc. [4, 5]. Sensor nodes are generally designed and programmed to match the needs of the target applications before they are released to the field. Many Wireless Sensor Networks (WSNs) are new and their requirements may not be fully anticipated during the sensor networks design and development stage. Remotely adding or modifying the design of sensor nodes to support new or modified software features required by target applications can be currently achieved using software reprogramming capability, which is supported with existing WSNs [6, 7, 8]. However, remote hardware reconfiguration capability could be very useful for some WSNs applications. To support this capability, sensor nodes will require using Field Programmable Gate array (FPGA) technology at their design. The WSNs nodes are not using FPGA yet in their design due to the large power consumption of this technology. However, the use of FPGA will provide nodes with important design features of flexibility and reconfigurable processing unit. This research is to foster the development of new and novel wireless sensor node for next- generation WSNs infrastructure. The proposed sensor node will be unique in providing remote software reprogramming and hardware reconfiguration capabilities. We will use the term ‘RR- node’ whenever a reference has been made to the proposed node’s infrastructure. Using the proposed RR-node in next-generation WSNs will produce flexible infrastructures that will
  • 2. International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013 2 provide remote design modification even after the deployment of WSNs on the sensing field. In addition, the RR-node can be used for other application fields such as vehicle electronics, mobile phones, security systems, etc. There are some design challenges associated with the RR-node development such as provide RR- node with remote hardware reconfiguration capability, reducing high power consumption due to the use of FPGA Technology in node design, develop a library for RR-node components, and developing WSN prototype to provide a proof-of-concept for using RR-nodes in supporting a real-world applications such as security surveillance, border control, forest fire monitoring, etc. In this paper, we will address some of these challenges and specifically using FPGA technology and pixels sensor in node design for monitoring applications. The developed of flexible FPGA-based node infrastructure will be important for advancing research in the area of WSNs remote sensing, development, and applications. 2.DESIGN CONCEPT Some of the existing WSNs have the support for software reprogramming capability, where the software modifying on individual nodes can be achieved after the network has been deployed and initialized [9, 10]. However, the remote support for both software reprogramming and hardware reconfiguration capabilities has not been available in existing WSNs’ nodes. Therefore, in this RR-node development project, the focus will be given only to the hardware reconfiguration since it is a new WSNs capability that has not been investigated thoroughly yet. The design and the development of the proposed RR-node instrument is new, novel, and crucial to explore the use and the impact of the RR-node on next-generation WSNs and applications, which require on-field system modifications. The development of the proposed RR-node will provide WSNs with sensor nodes that have a unique fully dynamically adaptable feature. This feature will provide nodes design with adjustable capability to their surrounding environment even after their deployment on the sensing field. Supporting the proposed RR-node instrument with hardware reconfigurations capability will require the use of FPGA technology in nodes design. The existing WSNs nodes have no FPGA in their designs due to the main drawback of the FPGA, which is high power consumption. However, the effect of this problem can be reduced and may be eliminated by using modern FPGA chips that consume low power such as Spartan 3L, Actel gloo, etc. Also, the partial reconfiguration that supported in some FPGA design tools such as Xilinx ISE, can reduce the size of the design bit stream file that will support fast remote hardware reconfiguration with less power consumption. In addition, the use of low-cost and tiny solar units in this project to recharge the RR-node batteries will elevate the drawback for the large power consumption of using FPGA in WSNs. Increasing the cost of the RR-node due to the use of solar unit with each node might not be acceptable in low-cost WSNs. Nevertheless, the RR-node increased cost can be acceptable for some other WSNs applications, which require high performance processing nodes and/or provide nodes’ design adaptability to their surrounding applications. The environmental monitoring applications such as forest fire detection, homeland security, border control, etc., are some of the potential applications that could use the RR-nodes. The existing nodes’ wireless channel that used for WSNs routing will also be used to support the RR-node design with remote hardware reconfiguration capability. As most of the commercially available FPGA chips include a JTAG port to download the configuration file, the use of wireless JTAG unit will be used to provide RR-node design with hardware reconfiguration capability.
  • 3. International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013 3 3.RR-NODE DEVELOPMENT The main components of RR-node are the soft-core MIPS processor, pixel sensor interface, and the wireless JTAG unit controller that are designed using VHDL language and all integrated on a single Xilinx FPGA Spartan 3 chip. All other off-the-shelf nodes’ components such as the pixels sensor, wireless transceiver, memory, battery unit, communications antenna, CPLD chip for JTAG controller, and the Xilinx FPGA chip are all integrated on a small PCB board to produce the prototype RR-node. The MIPS processor used in RR-node design is a soft-core architecture which is designed to be optimized, flexible, and efficient for the need of the WSN application. The instructions set and the architecture of the soft-core MIPS processor can be optimized to the needs of the target WSNs applications through the use of hardware reconfiguration capability provided in the design RR- node through its wireless channel. The developed soft-core processor performance provides 10 MIPS, which is efficient to meet the processing needs most of the target applications [11, 12]. In addition, the soft core processor has a flexible design that supports remote hardware modification on the applications field. The processing core is small and can be integrated on the FPGA chip with other required RR-node components [Figure 1]. ` Figure 1: Developed Soft Core Processor Architecture for RR- Node To minimize the power consumption required to support the hardware reconfiguration of WSNs node, the size of the bit stream file for the reconfiguration component using partial reconfiguration approach and data compression provided by the FPGA design tools must be minimized. We have evaluated the hardware reconfiguration that performed to adjust the data path for the ALU and RF from 16-bit to 32-bit. The VHDL code for these two components was synthesized using Xilinx ISE design tools for Xilinx SPARTAN 3 chip. The default bit stream file size produced by the Xilinx ISE design tools for each of these components is 344,468 bytes. However, using the file compression option provided by Xilinx design tools, the bit stream file size for both components was reduced significantly as shown in Table 1. The transfer time for the bit stream design files for the ALU and RF components produced to reconfigure the soft-core processor designed for Spartan device XA3S700A was found to be 6.1 Address bus Instruction Address Data bus Instruction / Data Memory Instruction Register Register File ALU out buffer CU PC A L U EPC
  • 4. International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013 4 and 35.5 seconds respectively. Clearly, the transfer time for the hardware reconfigurable components was large due to the use of slow transceiver that provides 64Kbit/sec. This measurement was based on a design of a 32-bit ALU that can process 15 different operations and RF with 32 registers where each register has 32-bit. The design bit stream file for each component was produced using VHDL synthesizer of the Xilinx ISE design tools and transferred using a simple data packet frame format, which has 8-bit header and 8-bit trailer, 8-byte size for the hardware reconfiguration data transfer, and a CRC field. Such timing requirement for upgrading the processor design between 16 and 32-bit architecture is useful to evaluate the amount of time that required upgrading the soft-core processor. Such upgrading is important to support new application’s features, which was not supported by processor when the RR-node deployed on the application field. Table 1: ALU and RF bit stream file size The developed node has a CMOS pixels sensor to detect moving object appeared in the captured image, which can be used to support monitoring applications such as security surveillance. The design bit stream file of the pixels sensor interface was found to be 45 Kbytes, which means the hardware configuration of this sensor can be done in 7 seconds by using the low-speed transceiver. The remote hardware reconfiguration can be used to activate the sensor to capture and analyze image by the developed MIPS processor and to provide changes to pixels sensor operation based on the surrounding environment such as reconfigure the pixels sensor from video capturing to still image mode or change the capturing from black and white to color, if the RR- node supported with two different kind of pixels sensor. The RR-node architecture is shown in Figure 2. The FPGA chip is including the soft-core processor; Pixels sensor and flash memory interfaces, and the JTAG controller. All other off-the- shelf components such as the CMOS pixels sensor, memory, solar unit, and node’s batteries are placed along with the FPGA Spartan 3 chip on RR-node’s PCB board. 16 bit, 16 register 32 bit, 32 register Component ALU RF ALU RF SPARTAN 3A Device:XA3S700A 40KB 54KB 43KB 281KB
  • 5. International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013 5 Figure 2RR-node architecture 4.CONCLUSIONS The RR-node is designed to support remote hardware reconfiguration in addition to remote software reprogramming to provide a full flexible node design. Such node can provide a remote design modification that support nodes design adjusting to the surrounding applications. The use of partial reconfiguration and file compression techniques in addition to the use of tiny solar unit to node’s battery charging can overcome the high power consumption generated by the use of FPGA chip in the proposed sensor node. The evaluation for hardware modification to some of components used in RR-node design has shown that some node features can be upgraded in acceptable amount of time even when a slow wireless transceiver is used. REFERENCES [1] C. Intanagonwiwat, R. Govindan, and D. Estrin, “Directed Diffusion: A Scalable and Robust Communication Paradigm for Sensor Networks,” Proc. ACM MobilCom’00, Boston, MA, 2000, pp. 56-67. [2] G. J. Pottie and W. J. Kaiser, “Wireless Integrated Network Sensors,” Commun. ACM, vol. 43, no. 5, May 2000, pp. 551-558. [3] J. M. Kahn, R. H. Katz, and K. S. Pister, “Next Century Challenges: Mobile Networking for Smart Dust,” Proc. ACM MobiCom’99, Washington, DC, 1999, pp. 271-278. [4] I. Akyildiz, W. Su, Y. Sankarasubramaniam, and E. Cayirci, “A Survey on Sensor Networks,” IEEE Communications Magazine, August 2002, pp. 102-114. [5] D. Culler, D. Estrin, and M. Srivastava, “Overview of Sensor Networks”, IEEE Computer, August 2004, pp.41-49. [6] Simon Han, and et. Sl., Reconfigurable Sensor Networks with SOS, UCLA Electrical Engineering Department Annual Research Review (ARR) for 2004, University of California, October 2004. [7] Philip Levis, David Culler, “Maté: a tiny virtual machine for sensor networks”, Proceedings of the 10th international conference on Architectural support for programming languages and operating systems, New York, NY, USA 2002. Soft core MIPS processor Sensor Interface JTAG controller Flash memory interface JTAG controller Flash memory Transceiver CMOS pixels sensorFPGA chip JTAG signals
  • 6. International Journal of Mobile Network Communications & Telematics ( IJMNCT) Vol. 3, No.4, August 2013 6 [8] A. Boulis, C. Han, and M. Srivastava, “Design and implementation of a framework for efficient and programmable sensor networks”, Proceedings of the first International Conference on Mobile Systems, Applications, and Services (MobiSys 2003), 2003. [9] Simon Han, and et. Sl., Reconfigurable Sensor Networks with SOS, UCLA Electrical Engineering Department Annual Research Review (ARR) for 2004 , University of California, Los An , October 2004. [10] http://www.sics.se/contiki/ [11] Ali El Kateeb, R. Aiyappa, and L. Alazzawi, “Sensor network processor”, Canadian electrical and Computer Engineering Conference, May 2008, pp1031-1034. [12] Ali El Kateeb, Aiyappa Ramesh, and Lubna Azzawi, “ Wireless Sensor Nodes Processor Architecture and Design”, the 22nd IEEE International Conference on Advanced Information Networking and Applications, March 2008, Japan, pp 892-897.