SlideShare a Scribd company logo
1 of 6
Download to read offline
Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104
www.ijera.com 99 | P a g e
Synthesis of Cordic Algorithm for Different Function
Ramanpreet Kaur*, Parminder Singh Jassal**
* (M.Tech Student , Department of Electronics and Communication Engineering, Yadavindra College of
Engineering, Punjabi University Guru kashi Campus , Talwandi Sabo – 151 302 , Punjab( India )
**(Assistant Prof., Department of Electronics and Communication Engineering, Yadavindra College of
Engineering, Punjabi University Guru kashi Campus , Talwandi Sabo – 151 302 , Punjab (India))
Abstract
COordinate Rotation DIgital Computer (CORDIC) algorithm is very simple and iterative process for performing
various mathematical computations. The development of CORDIC algorithm and architecture has taken place
for achieving high throughput rate and reduction of hardware-complexity as well as the latency of
implementation Most of the literature lacks in calculation of resources utilized by a particular CORDIC
architecture. In this paper resource calculation and the design operation of various mathematical functions like
divider, Rectangular to Polar conversion sin cos functions along with their accuracy is discussed.
Index Terms— CORDIC, Vector Rotation, Throughput
I. INTRODUCTION
CORDIC algorithm is an iterative algorithm,
which can be used for the computation of
trigonometric functions, multiplication and division
[2]. Last half century has witnessed a lot of progress
in design and development of architectures of the
algorithm for high-performance and low-cost
hardware solutions. CORDIC algorithm got its
popularity, when [2] showed that, by varying a few
simple parameters, it could be used as a single
algorithm for unified implementation of a wide range
of elementary transcendental functions involving
logarithms, exponentials, and square. During the
same time, [3] showed that CORDIC technique is a
better choice for scientific calculator applications.
The popularity of CORDIC was very much enhanced
thereafter primarily due to its potential for efficient
and low-cost implementation. With the advent of low
cost, low power FPGAs, this algorithm has shown its
potential for efficient and low-cost implementation.
CORDIC algorithm can be widely used in as wireless
communications, Software Defined Radio and
medical imaging applications, which are heavily
dependent on signal processing.
Although CORDIC may not be the fastest
technique to perform these operations, yet it is
attractive due to the simplicity and efficient hardware
implementation.
The development of CORDIC algorithm and
architecture has taken place for achieving high
throughput rate and reduction of hardware-
complexity as well as the latency of implementation.
Latency of implementation is an inherent drawback
of the conventional CORDIC algorithm. Angle
recoding schemes and higher radix CORDIC have
been developed for reduced latency realization.
Parallel and pipelined CORDIC have been suggested
for high-throughput computation. CORDIC
computation is inherently sequential due to two main
bottlenecks firstly the micro-rotation for any iteration
is performed on the intermediate vector computed by
the previous iteration and secondly the (i+1)th
iteration could be started only after the completion of
the ith iteration, since the value of which is required
to start the (i+1)th iteration could be known only after
the completion of the ith iteration. To alleviate the
second bottleneck some attempts have been made for
evaluation of values corresponding to small micro-
rotation angles [5]. However, the CORDIC iterations
could not still be performed in parallel due to the first
bottleneck. A partial parallelization has been realized
in [5] by combining a pair of conventional CORDIC
iterations into a single merged iteration which
provides better area-delay efficiency. But the
accuracy is slightly affected by such merging and
cannot be extended to a higher number of
conventional CORDIC iterations since the induced
error becomes unacceptable [4]. Parallel realization
of CORDIC iterations to handle the first bottleneck
by direct unfolding of micro-rotation is possible, but
that would result in increase in computational
complexity and the advantage of simplicity of
CORDIC algorithm gets degraded [7]. Although no
popular architectures are known to us for fully
parallel implementation of CORDIC, different forms
of pipelined implementation of CORDIC have
however been proposed for improving the
computational throughput [8].To handle latency
bottlenecks, various architectures have been
developed and reported in this review. Most of the
well-known architectures could be grouped under bit
RESEARCH ARTICLE OPEN ACCESS
Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104
www.ijera.com 100 | P a g e
parallel iterative CORDIC, bit parallel unrolled
CORDIC, bit serial iterative CORDIC architecture.
II. CORDIC ALGORITHM
Keeping the requirements and constraints of
different application environments in view, the
development of CORDIC algorithm and architecture
has taken place for achieving high throughput rate
and reduction of hardware-complexity as well as the
latency of implementation. Some of the typical
approaches for reduced-complexity implementation
are focused on minimization of the complexity of
scaling operation and the complexity of barrel-shifter
in the CORDIC engine. Latency of implementation is
an inherent drawback of the conventional CORDIC
algorithm. Parallel and pipelined CORDIC have been
suggested for high-throughput computation and
efficient CORDIC algorithm.
CORDIC algorithm has two types of
computing modes Vector rotation (Rotating mode)
and vector translation (Vectoring mode). The
CORDIC algorithm was initially designed to perform
a vector rotation, where the vector V with
components (x,y) is rotated through the angle 
yielding a new vector '
V with component (x’,y’)
shown in Fig 1.
Fig 1: Vector Rotation
V’ = [R] [V] (1)
where R is the rotation matrix:
cos sin
sin cos
R
 
 
 
  
 
(2)
'
'
'
cos sin
sin cos
xx
V
yy
 
 
     
      
    
(3)
The individual equations for
'
x and
'
y can
be rewritten as:
'
.cos( ) .sin( )x x y   (4)
'
.cos( ) .sin( )y y x   (5)
and rearranged so that
'
cos( )[ .tan( )]x x y   (6)
'
cos( )[ .tan( )]y y x   (7)
The multiplication by the tangent term can
be avoided if the rotation angles and therefore
tan( ) are restricted so that tan( ) 2 i
 
 . In digital
hardware this denotes a simple shift operation.
Furthermore, if those rotations are performed
iteratively and in both directions every value of
tan( ) is representable. With arctan(2 )i
 
 the
cosine term could also be simplified and since
cos( ) cos( )   it is a constant for a fixed
number of iterations. This iterative rotation can now
be expressed as:
1 [ . .2 ]i
i i i i ix k x y d 
   (8)
1 [ . .2 ]i
i i i i iy k y x d 
   (9)
where cos(arctan(2 ))i
ik 
 and 1id   . The
product of the ik 's represents the so-called K factor .
1
0
n
i
i
k k


  (10)
This K factor can be calculated in advance
and applied elsewhere in the system. Equations (8)
and (9) can now be simplified to the basic CORDIC
equations:
1 [ . .2 ]i
i i i ix x y d 
   (11)
1 [ . .2 ]i
i i i iy y x d 
   (12)
The direction of each rotation is defined b y
id and the sequence of all id 's determines the final
vector. Each vector V can be described by either the
vector length and angle or by its coordinates x and
y . Following this incident, the CORDIC algorithm
knows two ways of determining the direction of
rotation: the rotation mode and the vectoring mode.
Both methods initialize the angle accumulator with
the desired angle oz . The rotation mode, determines
the right sequence as the angle accumulator
approaches 0 while the vectoring mode minimizes the
y component of the input vector.
The angle accumulator is defined by:
1 .arctan(2 )i
i i iz z d 
  
(13)
where the sum of an infinite number of iterative
rotation angles equals the input angle  :
0
.arctan(2 )i
i
i
d



  (14)
Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104
www.ijera.com 101 | P a g e
Those values of arctan(2 )i
can be stored in a small
lookup table or hardwired depending on the way of
implementation. Since the decision is which direction
to rotate instead of whether to rotate or not, id is
sensitive to the sign of iz . Therefore id can be
described as:
1, 0
1, 0
i
i
i
if z
d
if z
 
 
 
(15)
With equation (15) the CORDIC algorithm
in rotation mode is described completely. Note, that
the CORDIC method as described performs rotations
only within / 2 and / 2 . This limitation comes
from the use of
0
2 for the tangent in the first
iteration. However, since a sine wave is symmetric
from quadrant to quadrant, every sine value from 0 to
2 can be represented by reflecting and/or inverting
the first quadrant appropriately.
In vector translation, rotates the vector V
with component (X, Y) around the circle until the Y
component equals zero as illustrated in Figure 2. The
outputs from vector translation are the magnitude X’
and phase
'
z , of the input vector V with component
(X,Y).
Fig 2. Vector Translation
After vector translation, output equations are:
 2 2
X' = ki X Y
(16)
'
0Y  (17)
'
tan
Y
z a
X
 
  
  (18)
To achieve simplicity of hardware
realization of the rotation, the key ideas used in
CORDIC arithmetic are to decompose the rotations
into a sequence of elementary rotations through
predefined angles that could be implemented with
minimum hardware cost and to avoid scaling, that
might involve arithmetic operation, such as square-
root and division. The second idea is based on the
fact the scale-factor contains only the magnitude
information but no information about the angle of
rotation.
In 1971, John S. Walther found how CORDIC
iterations could be modified to compute hyperbolic
functions and reformulated the CORDIC algorithm
into a generalized and unified form which is suitable
to perform rotations in circular, hyperbolic and linear
coordinate systems. The unified formulation includes
a new variable m , which is assigned different values
for different coordinate systems. The generalized
CORDIC is formulated as follows:
1
1
1
.2 .
.2 .
.
i
i i i i
i
i i i i
i i i i
x x m y
y y x
w w


 





 
 
 
(19)
Where
( )
( )
i
i
i
sign w
sign w


 

for rotation mode
for vectoring mode
Table 1 Generalized CORDIC Algorithm
For 1,0m  or 1 and
1
tan (2 ),2i i
i   
 or 1
tanh (2 )i 
, the
algorithm given by (19) works in circular, linear or
hyperbolic coordinate systems, respectively.
Table 1 summarizes the operations that can
be performed in rotation and vectoring modes in each
of these coordinate systems. The convergence range
of linear and hyperbolic CORDIC are obtained, as in
the case of circular coordinate, by the sum of all i
given by
0
i
i



 .
The hyperbolic CORDIC requires to execute
iterations for 4,13,40........i  twice to ensure
convergence. Consequently, these repetitions must be
considered while computing the scale-factor
2 1/2
(1 2 )i
hK  
  , which converges to 0.8281.
Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104
www.ijera.com 102 | P a g e
III. SYNTHESIS OF CORDIC
ALGORITHM FOR
RECTANGULAR TO POLAR
CONVERSION
A logical extension to the sine and cosine
computer is a polar to Cartesian coordinate
transformer. The transformation from polar to
Cartesian space is defined by
cos
sin
x r
y r




As pointed out above, the multiplication by
the magnitude comes for free using the CORDIC
rotator. The transformationis accomplished by
selecting the rotation mode with x0=polar magnitude,
z0 = polar phase and y0=0
Table 2 Resource Utilization of rectangular to
polar conversion functional Configuration
Logic Utilization Used Available
Number of Slice Flip Flops 554 66,560
Number of 4 input LUTs 487 66,560
Number of occupied Slices 341 33,280
Number of Slices
containing only related
logic
341 341
Total Number of 4 input
LUTs
562 66,560
Number of MULT18X18s 81 104
Number of GCLKs 1 8
Table 2 shows the logic resources used to
implement the whole rectangular to polar architecture
and its utilization.
IV. SYNTHEISS OF CORDIC
ALGORITHM FOR DIVIDER
FUNCTIONS
The HDL integer divison operation is
commonly supportsd in current development system
for simulation . the divison algorithm are for
simulaton but difficult to synthesize. And is
commonly used for hand written divison performed
for binary numbers . The development system
contain usually blocks for IP core generations where
divison is solved in the form of highly pipelined
synchronized cores thet are able to work at high clock
frequencies. The divider itself is a combinational
block here is the resource calculation for the divider
function of CORDIC.
Table 3 Resource Utilization of rectangular to
polar conversion functional Configuration
Logic Utilization Used Available
Number of Slice Flip Flops 1113 66,560
Number of 4 input LUTs 962 66,560
Number of occupied Slices 745 33,280
Number used as a route-
through
54 341
Total Number of 4 input
LUTs
1022 66,560
Number of MULT18X18s 1 104
Number of GCLKs 1 8
Table 3 shows the logic resources used to implement
the whole Divider architecture and utilization of
design is very less.
V. V.SYNTHEISS OF CORDIC
ALGORITHM FOR SIN AND COS
FUNCTIONS
CORDIC can be used to compute Sin of any
angle  with little variation. The angle is given as
input. A vector length 1.647 (CORDIC gain) along
the x-axis is taken. The vector is then rotated in steps
so as to reach the desired input angle . The x and y
values are accumulated. After fixed number of
iterations the final co-ordinates of the vector i.e. the x
and y values give value of Cos and Sin respectively
of the given angle . When the Sin Cos functional
configuration is selected, the unit vector is rotated,
using the CORDIC algorithm, by input angle . This
generates the output vector (cos( ),sin( ))  .The
compensation scaling module is disabled for the Sin
and Cos functional configuration as it is internally
pre-scaled to compensate for the CORDIC scale
factor.
Table 4 Device Utilization Summary of Xilinx
Logic
Utilization
Used Available
Reference
By [1]
Number of
occupied
Slices
277 33,280
808
Total Number
of 4 input
LUTs
507 66,560
1569
Number of 4
input LUTs
482 66,560
---
Number of
Slice Flip
Flops
437 66,560
284
Number of
GCLKs
1
8 1
Table 4 shows the logic resources used to implement
the whole sin and cos architecture and utilization of
design is less.Furthermore it is also shows the results
of the reference paper [1].
Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104
www.ijera.com 103 | P a g e
Table 5 The Results of the Implementation of a
Cordic Algorithm On Device and Matlab
Angle Cos (Device) Sin (Device)
61.25 0.4767 0.879
146.60 -0.8311 0.5611
178.18 -0.9917 0.1306
Angle Cos (Matlab) Sin (Matlab)
61.25 0.4738 0.8806
146.60 -0.8278 0.5611
178.18 -0.992 0.1266
Table 5 shows the results of the implementation of a
cordic Algorithm on the device and Matlab and high
process accuracy is obtained.
Table 6 Thermal Summary of sin cos functional
Configuration
Thermal Summary
Estimated Junction
Temperature
30C
Ambient Temp 25C
Case Temp 29C
Theta J-A Range 13C/W
Table 7 Results of error variance
Cos Sin
Our work By
reference
[1]
Our work By
reference
[1]
7.19687e-
006
8.6057e-
005
1.1103e-
005
2.0431e-
004
According to the results of the above table 7 , the
accuracy of the result is verified as the error in cos
and sin function is very negligible.
Fig 3. Effect of Iteration
Fig 3 shows the effect of iterations with the angle . as
we increase the number of iterations ,more accurate
results will be obtained.
VI. CONCLUSION
The Xilinx (ISE 9.2i) has been used to
implement CORDIC functions as well as calculate
the resource utilization. We have also compared our
work with the results of the reference paper [1] and in
our work the utilization of resources is less than the
reference paper[1]. Furthermore the error variance is
much negligible in our work. It means one can select
a smaller and cheaper design to further reduce the
cost as the Resource Utilization is reduced to large
extent. Thermal summary for the mentioned
functions has also been discussed.
REFERENCES
[1] Buruc KIR Mehmet Ali ALTUCN, Suhap
SAHIN, ― FPGA Based Implementation of
CORDIC using different number format ‖
IEEE, 2013.
[2] J. E. Volder, ―The CORDIC trigonometric
computing technique,‖ IRE Transactions on
Electronic Computers, vol. EC- 8, pp. 330–
334, Sept. 1959.
[3] J. S. Walther, ―A unified algorithm for
elementary functions,‖ in Proceedings of the
38th Spring Joint Computer Conference,
Atlantic City, NJ, 1971, pp.379–385.
[4] D. S. Cochran, ―Algorithms and accuracy in
the HP-35,‖ Hewlett-Packard Journal, pp. 1–
11, June 1972.
[5] S. Wang, V. Piuri, and J. E. E. Swartzlander,
―Hybrid CORDIC algorithms,‖IEEE
Transactions on Computers, volume 46, no.
11, pp. 1202–1207, November1997.
[6] S. Wang and E. E. Swartzlander, ―Merged
CORDIC algorithm,‖ in IEEE International
Symposium on Circuits Systems
(ISCAS’95),1995, volume 3, pp.1988–1991.
[7] B. Gisuthan and T. Srikanthan, ―Pipelining
flat CORDIC based trigonometric function
generators,‖ Microelectronics Journal,
volume 33, Pp.77–89, 2002.
[8] E. Deprettere, P. Dewilde, and R. Udo,
―Pipelined CORDIC architectures for fast
VLSI filtering and array processing,‖ in
IEEE International Conference on Acoustic,
Speech, Signal Processing, ICASSP’84,
March 1984, volume 9, pp.250–253.
[9] D. E. Metafas and C. E. Goutis, ―A floating
point pipeline CORDIC processor with
extended operation set,‖ in IEEE
International Symposium on Circuits and
Systems, ISCAS’91, June 1991, volume 5,
pp. 3066–3069.
Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104
www.ijera.com 104 | P a g e
Ramanpreet Kaur currently pursiuing
M-Tech degree in ―Electronics & Communication
Engineering‖ at Department of E&CE Yadavindra
Collegeof Engineering,Talwandi Sabo. Her research
interests are in simulation of digital circuits and
FPGA Implementation.
Er. Parminder Singh Jassal received
the M. Tech degree in VLSI Design from (C-DAC,
Mohali) Punjab Technical University in 2006. He is
currently working as Assistant Professor in
Department of Electronics and Communication
Engineering at Yadavindra College of Engineering,
Punjabi University Guru kashi Campus, Talwandi
Sabo. Previously working at NIT Hamirpur as a
VLSI faculty under special Manpower development
in VLSI Area (SMDP-II). His main research interests
are in High speed digital VLSI circuits, Low power,
synthesis and Simulation of digital circuits and FPGA
Implementation.

More Related Content

What's hot

VHDL and Cordic Algorithim
VHDL and Cordic AlgorithimVHDL and Cordic Algorithim
VHDL and Cordic AlgorithimSubeer Rangra
 
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Editor IJMTER
 
A XOR THRESHOLD LOGIC IMPLEMENTATION THROUGH RESONANT TUNNELING DIODE
A XOR THRESHOLD LOGIC IMPLEMENTATION THROUGH RESONANT TUNNELING DIODEA XOR THRESHOLD LOGIC IMPLEMENTATION THROUGH RESONANT TUNNELING DIODE
A XOR THRESHOLD LOGIC IMPLEMENTATION THROUGH RESONANT TUNNELING DIODEVLSICS Design
 
Implementation performance analysis of cordic
Implementation performance analysis of cordicImplementation performance analysis of cordic
Implementation performance analysis of cordiciaemedu
 
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...IJERA Editor
 
Implementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select AdderImplementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select AdderIJMTST Journal
 
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORMDESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORMsipij
 
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...Kunjan Shinde
 
Iaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fftIaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fftIaetsd Iaetsd
 
128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder 128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder ijcisjournal
 
1.area efficient carry select adder
1.area efficient carry select adder1.area efficient carry select adder
1.area efficient carry select adderKUMARASWAMY JINNE
 
FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator
FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator
FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator cscpconf
 
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementationsHEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementationsTELKOMNIKA JOURNAL
 
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapper
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapperModification on Energy Efficient Design of DVB-T2 Constellation De-mapper
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapperIJERA Editor
 
IMPROVED PERFORMANCE RS DECODER USING LCC DECODING BASED ON USC
IMPROVED PERFORMANCE RS DECODER USING LCC DECODING BASED ON USCIMPROVED PERFORMANCE RS DECODER USING LCC DECODING BASED ON USC
IMPROVED PERFORMANCE RS DECODER USING LCC DECODING BASED ON USCEditor IJMTER
 
IRJET- Survey on Adaptive Routing Algorithms
IRJET- Survey on Adaptive Routing AlgorithmsIRJET- Survey on Adaptive Routing Algorithms
IRJET- Survey on Adaptive Routing AlgorithmsIRJET Journal
 

What's hot (19)

Cordic Code
Cordic CodeCordic Code
Cordic Code
 
VHDL and Cordic Algorithim
VHDL and Cordic AlgorithimVHDL and Cordic Algorithim
VHDL and Cordic Algorithim
 
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
 
A XOR THRESHOLD LOGIC IMPLEMENTATION THROUGH RESONANT TUNNELING DIODE
A XOR THRESHOLD LOGIC IMPLEMENTATION THROUGH RESONANT TUNNELING DIODEA XOR THRESHOLD LOGIC IMPLEMENTATION THROUGH RESONANT TUNNELING DIODE
A XOR THRESHOLD LOGIC IMPLEMENTATION THROUGH RESONANT TUNNELING DIODE
 
Implementation performance analysis of cordic
Implementation performance analysis of cordicImplementation performance analysis of cordic
Implementation performance analysis of cordic
 
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
 
Implementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select AdderImplementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select Adder
 
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORMDESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
 
Hx3414601462
Hx3414601462Hx3414601462
Hx3414601462
 
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
 
Iaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fftIaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fft
 
128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder 128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder
 
1.area efficient carry select adder
1.area efficient carry select adder1.area efficient carry select adder
1.area efficient carry select adder
 
FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator
FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator
FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator
 
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementationsHEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
 
Determination of all stability region of unstable fractional order system in ...
Determination of all stability region of unstable fractional order system in ...Determination of all stability region of unstable fractional order system in ...
Determination of all stability region of unstable fractional order system in ...
 
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapper
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapperModification on Energy Efficient Design of DVB-T2 Constellation De-mapper
Modification on Energy Efficient Design of DVB-T2 Constellation De-mapper
 
IMPROVED PERFORMANCE RS DECODER USING LCC DECODING BASED ON USC
IMPROVED PERFORMANCE RS DECODER USING LCC DECODING BASED ON USCIMPROVED PERFORMANCE RS DECODER USING LCC DECODING BASED ON USC
IMPROVED PERFORMANCE RS DECODER USING LCC DECODING BASED ON USC
 
IRJET- Survey on Adaptive Routing Algorithms
IRJET- Survey on Adaptive Routing AlgorithmsIRJET- Survey on Adaptive Routing Algorithms
IRJET- Survey on Adaptive Routing Algorithms
 

Viewers also liked

Improving Galacto-Oligosaccharide Content in the Production of Lactose-Reduce...
Improving Galacto-Oligosaccharide Content in the Production of Lactose-Reduce...Improving Galacto-Oligosaccharide Content in the Production of Lactose-Reduce...
Improving Galacto-Oligosaccharide Content in the Production of Lactose-Reduce...IJERA Editor
 
A Review on Congestion control Mechanisms in Wireless Sensor Networks
A Review on Congestion control Mechanisms in Wireless Sensor NetworksA Review on Congestion control Mechanisms in Wireless Sensor Networks
A Review on Congestion control Mechanisms in Wireless Sensor NetworksIJERA Editor
 
Fabrication of Mono Roue
Fabrication of Mono RoueFabrication of Mono Roue
Fabrication of Mono RoueIJERA Editor
 
Hokkaido in autumn
Hokkaido in autumnHokkaido in autumn
Hokkaido in autumnAnna Casey
 
Os jovens estão órfãos da esquerda (S.Kanitz)
Os jovens estão órfãos da esquerda (S.Kanitz)Os jovens estão órfãos da esquerda (S.Kanitz)
Os jovens estão órfãos da esquerda (S.Kanitz)Giba Canto
 

Viewers also liked (20)

L44095762
L44095762L44095762
L44095762
 
Q44089196
Q44089196Q44089196
Q44089196
 
N044066769
N044066769N044066769
N044066769
 
P44088390
P44088390P44088390
P44088390
 
K44095156
K44095156K44095156
K44095156
 
P046048892
P046048892P046048892
P046048892
 
Improving Galacto-Oligosaccharide Content in the Production of Lactose-Reduce...
Improving Galacto-Oligosaccharide Content in the Production of Lactose-Reduce...Improving Galacto-Oligosaccharide Content in the Production of Lactose-Reduce...
Improving Galacto-Oligosaccharide Content in the Production of Lactose-Reduce...
 
A Review on Congestion control Mechanisms in Wireless Sensor Networks
A Review on Congestion control Mechanisms in Wireless Sensor NetworksA Review on Congestion control Mechanisms in Wireless Sensor Networks
A Review on Congestion control Mechanisms in Wireless Sensor Networks
 
R04605106110
R04605106110R04605106110
R04605106110
 
O046058993
O046058993O046058993
O046058993
 
Fabrication of Mono Roue
Fabrication of Mono RoueFabrication of Mono Roue
Fabrication of Mono Roue
 
Q04601104112
Q04601104112Q04601104112
Q04601104112
 
Aj4506186190
Aj4506186190Aj4506186190
Aj4506186190
 
Ag4506172174
Ag4506172174Ag4506172174
Ag4506172174
 
B45020308
B45020308B45020308
B45020308
 
Ae04507184189
Ae04507184189Ae04507184189
Ae04507184189
 
Hokkaido in autumn
Hokkaido in autumnHokkaido in autumn
Hokkaido in autumn
 
Festival De crisantemos
Festival De crisantemosFestival De crisantemos
Festival De crisantemos
 
Spring Roo
Spring RooSpring Roo
Spring Roo
 
Os jovens estão órfãos da esquerda (S.Kanitz)
Os jovens estão órfãos da esquerda (S.Kanitz)Os jovens estão órfãos da esquerda (S.Kanitz)
Os jovens estão órfãos da esquerda (S.Kanitz)
 

Similar to P0440499104

Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular TrajectoryArea Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular TrajectoryIOSR Journals
 
CORDIC Algorithm for WLAN
CORDIC Algorithm for WLANCORDIC Algorithm for WLAN
CORDIC Algorithm for WLANIJERA Editor
 
Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine Generation
Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine GenerationImplementation of Efficiency CORDIC Algorithmfor Sine & Cosine Generation
Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine GenerationIOSR Journals
 
A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...eSAT Journals
 
VLSI Implementation of CORDIC Based Robot Navigation Processor
VLSI Implementation of CORDIC Based Robot Navigation ProcessorVLSI Implementation of CORDIC Based Robot Navigation Processor
VLSI Implementation of CORDIC Based Robot Navigation ProcessorIRJET Journal
 
Reconfigurable Design of Rectangular to Polar Converter using Linear Convergence
Reconfigurable Design of Rectangular to Polar Converter using Linear ConvergenceReconfigurable Design of Rectangular to Polar Converter using Linear Convergence
Reconfigurable Design of Rectangular to Polar Converter using Linear ConvergenceAnuragVijayAgrawal
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqIAEME Publication
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqIAEME Publication
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqIAEME Publication
 
Designing of cordic processor in verilog using xilinx ise simulator
Designing of cordic processor in verilog using xilinx ise simulatorDesigning of cordic processor in verilog using xilinx ise simulator
Designing of cordic processor in verilog using xilinx ise simulatoreSAT Publishing House
 
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...IOSR Journals
 
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...IOSR Journals
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...idescitation
 
“ Implimentation of SD Processor Based On CRDC Algorithm ”
“ Implimentation of SD Processor Based On CRDC Algorithm ”“ Implimentation of SD Processor Based On CRDC Algorithm ”
“ Implimentation of SD Processor Based On CRDC Algorithm ”inventionjournals
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderIRJET Journal
 

Similar to P0440499104 (20)

Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular TrajectoryArea Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
 
CORDIC Algorithm for WLAN
CORDIC Algorithm for WLANCORDIC Algorithm for WLAN
CORDIC Algorithm for WLAN
 
Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine Generation
Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine GenerationImplementation of Efficiency CORDIC Algorithmfor Sine & Cosine Generation
Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine Generation
 
Fm33980983
Fm33980983Fm33980983
Fm33980983
 
Fm33980983
Fm33980983Fm33980983
Fm33980983
 
A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...
 
VLSI Implementation of CORDIC Based Robot Navigation Processor
VLSI Implementation of CORDIC Based Robot Navigation ProcessorVLSI Implementation of CORDIC Based Robot Navigation Processor
VLSI Implementation of CORDIC Based Robot Navigation Processor
 
Reconfigurable Design of Rectangular to Polar Converter using Linear Convergence
Reconfigurable Design of Rectangular to Polar Converter using Linear ConvergenceReconfigurable Design of Rectangular to Polar Converter using Linear Convergence
Reconfigurable Design of Rectangular to Polar Converter using Linear Convergence
 
Cw34597599
Cw34597599Cw34597599
Cw34597599
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniq
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniq
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniq
 
srivastava2018.pdf
srivastava2018.pdfsrivastava2018.pdf
srivastava2018.pdf
 
Designing of cordic processor in verilog using xilinx ise simulator
Designing of cordic processor in verilog using xilinx ise simulatorDesigning of cordic processor in verilog using xilinx ise simulator
Designing of cordic processor in verilog using xilinx ise simulator
 
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
 
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
 
“ Implimentation of SD Processor Based On CRDC Algorithm ”
“ Implimentation of SD Processor Based On CRDC Algorithm ”“ Implimentation of SD Processor Based On CRDC Algorithm ”
“ Implimentation of SD Processor Based On CRDC Algorithm ”
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adder
 

Recently uploaded

Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
The Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfThe Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfSeasiaInfotech2
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Vector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesVector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesZilliz
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 

Recently uploaded (20)

DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
The Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfThe Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdf
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Vector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesVector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector Databases
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 

P0440499104

  • 1. Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104 www.ijera.com 99 | P a g e Synthesis of Cordic Algorithm for Different Function Ramanpreet Kaur*, Parminder Singh Jassal** * (M.Tech Student , Department of Electronics and Communication Engineering, Yadavindra College of Engineering, Punjabi University Guru kashi Campus , Talwandi Sabo – 151 302 , Punjab( India ) **(Assistant Prof., Department of Electronics and Communication Engineering, Yadavindra College of Engineering, Punjabi University Guru kashi Campus , Talwandi Sabo – 151 302 , Punjab (India)) Abstract COordinate Rotation DIgital Computer (CORDIC) algorithm is very simple and iterative process for performing various mathematical computations. The development of CORDIC algorithm and architecture has taken place for achieving high throughput rate and reduction of hardware-complexity as well as the latency of implementation Most of the literature lacks in calculation of resources utilized by a particular CORDIC architecture. In this paper resource calculation and the design operation of various mathematical functions like divider, Rectangular to Polar conversion sin cos functions along with their accuracy is discussed. Index Terms— CORDIC, Vector Rotation, Throughput I. INTRODUCTION CORDIC algorithm is an iterative algorithm, which can be used for the computation of trigonometric functions, multiplication and division [2]. Last half century has witnessed a lot of progress in design and development of architectures of the algorithm for high-performance and low-cost hardware solutions. CORDIC algorithm got its popularity, when [2] showed that, by varying a few simple parameters, it could be used as a single algorithm for unified implementation of a wide range of elementary transcendental functions involving logarithms, exponentials, and square. During the same time, [3] showed that CORDIC technique is a better choice for scientific calculator applications. The popularity of CORDIC was very much enhanced thereafter primarily due to its potential for efficient and low-cost implementation. With the advent of low cost, low power FPGAs, this algorithm has shown its potential for efficient and low-cost implementation. CORDIC algorithm can be widely used in as wireless communications, Software Defined Radio and medical imaging applications, which are heavily dependent on signal processing. Although CORDIC may not be the fastest technique to perform these operations, yet it is attractive due to the simplicity and efficient hardware implementation. The development of CORDIC algorithm and architecture has taken place for achieving high throughput rate and reduction of hardware- complexity as well as the latency of implementation. Latency of implementation is an inherent drawback of the conventional CORDIC algorithm. Angle recoding schemes and higher radix CORDIC have been developed for reduced latency realization. Parallel and pipelined CORDIC have been suggested for high-throughput computation. CORDIC computation is inherently sequential due to two main bottlenecks firstly the micro-rotation for any iteration is performed on the intermediate vector computed by the previous iteration and secondly the (i+1)th iteration could be started only after the completion of the ith iteration, since the value of which is required to start the (i+1)th iteration could be known only after the completion of the ith iteration. To alleviate the second bottleneck some attempts have been made for evaluation of values corresponding to small micro- rotation angles [5]. However, the CORDIC iterations could not still be performed in parallel due to the first bottleneck. A partial parallelization has been realized in [5] by combining a pair of conventional CORDIC iterations into a single merged iteration which provides better area-delay efficiency. But the accuracy is slightly affected by such merging and cannot be extended to a higher number of conventional CORDIC iterations since the induced error becomes unacceptable [4]. Parallel realization of CORDIC iterations to handle the first bottleneck by direct unfolding of micro-rotation is possible, but that would result in increase in computational complexity and the advantage of simplicity of CORDIC algorithm gets degraded [7]. Although no popular architectures are known to us for fully parallel implementation of CORDIC, different forms of pipelined implementation of CORDIC have however been proposed for improving the computational throughput [8].To handle latency bottlenecks, various architectures have been developed and reported in this review. Most of the well-known architectures could be grouped under bit RESEARCH ARTICLE OPEN ACCESS
  • 2. Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104 www.ijera.com 100 | P a g e parallel iterative CORDIC, bit parallel unrolled CORDIC, bit serial iterative CORDIC architecture. II. CORDIC ALGORITHM Keeping the requirements and constraints of different application environments in view, the development of CORDIC algorithm and architecture has taken place for achieving high throughput rate and reduction of hardware-complexity as well as the latency of implementation. Some of the typical approaches for reduced-complexity implementation are focused on minimization of the complexity of scaling operation and the complexity of barrel-shifter in the CORDIC engine. Latency of implementation is an inherent drawback of the conventional CORDIC algorithm. Parallel and pipelined CORDIC have been suggested for high-throughput computation and efficient CORDIC algorithm. CORDIC algorithm has two types of computing modes Vector rotation (Rotating mode) and vector translation (Vectoring mode). The CORDIC algorithm was initially designed to perform a vector rotation, where the vector V with components (x,y) is rotated through the angle  yielding a new vector ' V with component (x’,y’) shown in Fig 1. Fig 1: Vector Rotation V’ = [R] [V] (1) where R is the rotation matrix: cos sin sin cos R            (2) ' ' ' cos sin sin cos xx V yy                       (3) The individual equations for ' x and ' y can be rewritten as: ' .cos( ) .sin( )x x y   (4) ' .cos( ) .sin( )y y x   (5) and rearranged so that ' cos( )[ .tan( )]x x y   (6) ' cos( )[ .tan( )]y y x   (7) The multiplication by the tangent term can be avoided if the rotation angles and therefore tan( ) are restricted so that tan( ) 2 i    . In digital hardware this denotes a simple shift operation. Furthermore, if those rotations are performed iteratively and in both directions every value of tan( ) is representable. With arctan(2 )i    the cosine term could also be simplified and since cos( ) cos( )   it is a constant for a fixed number of iterations. This iterative rotation can now be expressed as: 1 [ . .2 ]i i i i i ix k x y d     (8) 1 [ . .2 ]i i i i i iy k y x d     (9) where cos(arctan(2 ))i ik   and 1id   . The product of the ik 's represents the so-called K factor . 1 0 n i i k k     (10) This K factor can be calculated in advance and applied elsewhere in the system. Equations (8) and (9) can now be simplified to the basic CORDIC equations: 1 [ . .2 ]i i i i ix x y d     (11) 1 [ . .2 ]i i i i iy y x d     (12) The direction of each rotation is defined b y id and the sequence of all id 's determines the final vector. Each vector V can be described by either the vector length and angle or by its coordinates x and y . Following this incident, the CORDIC algorithm knows two ways of determining the direction of rotation: the rotation mode and the vectoring mode. Both methods initialize the angle accumulator with the desired angle oz . The rotation mode, determines the right sequence as the angle accumulator approaches 0 while the vectoring mode minimizes the y component of the input vector. The angle accumulator is defined by: 1 .arctan(2 )i i i iz z d     (13) where the sum of an infinite number of iterative rotation angles equals the input angle  : 0 .arctan(2 )i i i d      (14)
  • 3. Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104 www.ijera.com 101 | P a g e Those values of arctan(2 )i can be stored in a small lookup table or hardwired depending on the way of implementation. Since the decision is which direction to rotate instead of whether to rotate or not, id is sensitive to the sign of iz . Therefore id can be described as: 1, 0 1, 0 i i i if z d if z       (15) With equation (15) the CORDIC algorithm in rotation mode is described completely. Note, that the CORDIC method as described performs rotations only within / 2 and / 2 . This limitation comes from the use of 0 2 for the tangent in the first iteration. However, since a sine wave is symmetric from quadrant to quadrant, every sine value from 0 to 2 can be represented by reflecting and/or inverting the first quadrant appropriately. In vector translation, rotates the vector V with component (X, Y) around the circle until the Y component equals zero as illustrated in Figure 2. The outputs from vector translation are the magnitude X’ and phase ' z , of the input vector V with component (X,Y). Fig 2. Vector Translation After vector translation, output equations are:  2 2 X' = ki X Y (16) ' 0Y  (17) ' tan Y z a X        (18) To achieve simplicity of hardware realization of the rotation, the key ideas used in CORDIC arithmetic are to decompose the rotations into a sequence of elementary rotations through predefined angles that could be implemented with minimum hardware cost and to avoid scaling, that might involve arithmetic operation, such as square- root and division. The second idea is based on the fact the scale-factor contains only the magnitude information but no information about the angle of rotation. In 1971, John S. Walther found how CORDIC iterations could be modified to compute hyperbolic functions and reformulated the CORDIC algorithm into a generalized and unified form which is suitable to perform rotations in circular, hyperbolic and linear coordinate systems. The unified formulation includes a new variable m , which is assigned different values for different coordinate systems. The generalized CORDIC is formulated as follows: 1 1 1 .2 . .2 . . i i i i i i i i i i i i i i x x m y y y x w w                (19) Where ( ) ( ) i i i sign w sign w      for rotation mode for vectoring mode Table 1 Generalized CORDIC Algorithm For 1,0m  or 1 and 1 tan (2 ),2i i i     or 1 tanh (2 )i  , the algorithm given by (19) works in circular, linear or hyperbolic coordinate systems, respectively. Table 1 summarizes the operations that can be performed in rotation and vectoring modes in each of these coordinate systems. The convergence range of linear and hyperbolic CORDIC are obtained, as in the case of circular coordinate, by the sum of all i given by 0 i i     . The hyperbolic CORDIC requires to execute iterations for 4,13,40........i  twice to ensure convergence. Consequently, these repetitions must be considered while computing the scale-factor 2 1/2 (1 2 )i hK     , which converges to 0.8281.
  • 4. Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104 www.ijera.com 102 | P a g e III. SYNTHESIS OF CORDIC ALGORITHM FOR RECTANGULAR TO POLAR CONVERSION A logical extension to the sine and cosine computer is a polar to Cartesian coordinate transformer. The transformation from polar to Cartesian space is defined by cos sin x r y r     As pointed out above, the multiplication by the magnitude comes for free using the CORDIC rotator. The transformationis accomplished by selecting the rotation mode with x0=polar magnitude, z0 = polar phase and y0=0 Table 2 Resource Utilization of rectangular to polar conversion functional Configuration Logic Utilization Used Available Number of Slice Flip Flops 554 66,560 Number of 4 input LUTs 487 66,560 Number of occupied Slices 341 33,280 Number of Slices containing only related logic 341 341 Total Number of 4 input LUTs 562 66,560 Number of MULT18X18s 81 104 Number of GCLKs 1 8 Table 2 shows the logic resources used to implement the whole rectangular to polar architecture and its utilization. IV. SYNTHEISS OF CORDIC ALGORITHM FOR DIVIDER FUNCTIONS The HDL integer divison operation is commonly supportsd in current development system for simulation . the divison algorithm are for simulaton but difficult to synthesize. And is commonly used for hand written divison performed for binary numbers . The development system contain usually blocks for IP core generations where divison is solved in the form of highly pipelined synchronized cores thet are able to work at high clock frequencies. The divider itself is a combinational block here is the resource calculation for the divider function of CORDIC. Table 3 Resource Utilization of rectangular to polar conversion functional Configuration Logic Utilization Used Available Number of Slice Flip Flops 1113 66,560 Number of 4 input LUTs 962 66,560 Number of occupied Slices 745 33,280 Number used as a route- through 54 341 Total Number of 4 input LUTs 1022 66,560 Number of MULT18X18s 1 104 Number of GCLKs 1 8 Table 3 shows the logic resources used to implement the whole Divider architecture and utilization of design is very less. V. V.SYNTHEISS OF CORDIC ALGORITHM FOR SIN AND COS FUNCTIONS CORDIC can be used to compute Sin of any angle  with little variation. The angle is given as input. A vector length 1.647 (CORDIC gain) along the x-axis is taken. The vector is then rotated in steps so as to reach the desired input angle . The x and y values are accumulated. After fixed number of iterations the final co-ordinates of the vector i.e. the x and y values give value of Cos and Sin respectively of the given angle . When the Sin Cos functional configuration is selected, the unit vector is rotated, using the CORDIC algorithm, by input angle . This generates the output vector (cos( ),sin( ))  .The compensation scaling module is disabled for the Sin and Cos functional configuration as it is internally pre-scaled to compensate for the CORDIC scale factor. Table 4 Device Utilization Summary of Xilinx Logic Utilization Used Available Reference By [1] Number of occupied Slices 277 33,280 808 Total Number of 4 input LUTs 507 66,560 1569 Number of 4 input LUTs 482 66,560 --- Number of Slice Flip Flops 437 66,560 284 Number of GCLKs 1 8 1 Table 4 shows the logic resources used to implement the whole sin and cos architecture and utilization of design is less.Furthermore it is also shows the results of the reference paper [1].
  • 5. Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104 www.ijera.com 103 | P a g e Table 5 The Results of the Implementation of a Cordic Algorithm On Device and Matlab Angle Cos (Device) Sin (Device) 61.25 0.4767 0.879 146.60 -0.8311 0.5611 178.18 -0.9917 0.1306 Angle Cos (Matlab) Sin (Matlab) 61.25 0.4738 0.8806 146.60 -0.8278 0.5611 178.18 -0.992 0.1266 Table 5 shows the results of the implementation of a cordic Algorithm on the device and Matlab and high process accuracy is obtained. Table 6 Thermal Summary of sin cos functional Configuration Thermal Summary Estimated Junction Temperature 30C Ambient Temp 25C Case Temp 29C Theta J-A Range 13C/W Table 7 Results of error variance Cos Sin Our work By reference [1] Our work By reference [1] 7.19687e- 006 8.6057e- 005 1.1103e- 005 2.0431e- 004 According to the results of the above table 7 , the accuracy of the result is verified as the error in cos and sin function is very negligible. Fig 3. Effect of Iteration Fig 3 shows the effect of iterations with the angle . as we increase the number of iterations ,more accurate results will be obtained. VI. CONCLUSION The Xilinx (ISE 9.2i) has been used to implement CORDIC functions as well as calculate the resource utilization. We have also compared our work with the results of the reference paper [1] and in our work the utilization of resources is less than the reference paper[1]. Furthermore the error variance is much negligible in our work. It means one can select a smaller and cheaper design to further reduce the cost as the Resource Utilization is reduced to large extent. Thermal summary for the mentioned functions has also been discussed. REFERENCES [1] Buruc KIR Mehmet Ali ALTUCN, Suhap SAHIN, ― FPGA Based Implementation of CORDIC using different number format ‖ IEEE, 2013. [2] J. E. Volder, ―The CORDIC trigonometric computing technique,‖ IRE Transactions on Electronic Computers, vol. EC- 8, pp. 330– 334, Sept. 1959. [3] J. S. Walther, ―A unified algorithm for elementary functions,‖ in Proceedings of the 38th Spring Joint Computer Conference, Atlantic City, NJ, 1971, pp.379–385. [4] D. S. Cochran, ―Algorithms and accuracy in the HP-35,‖ Hewlett-Packard Journal, pp. 1– 11, June 1972. [5] S. Wang, V. Piuri, and J. E. E. Swartzlander, ―Hybrid CORDIC algorithms,‖IEEE Transactions on Computers, volume 46, no. 11, pp. 1202–1207, November1997. [6] S. Wang and E. E. Swartzlander, ―Merged CORDIC algorithm,‖ in IEEE International Symposium on Circuits Systems (ISCAS’95),1995, volume 3, pp.1988–1991. [7] B. Gisuthan and T. Srikanthan, ―Pipelining flat CORDIC based trigonometric function generators,‖ Microelectronics Journal, volume 33, Pp.77–89, 2002. [8] E. Deprettere, P. Dewilde, and R. Udo, ―Pipelined CORDIC architectures for fast VLSI filtering and array processing,‖ in IEEE International Conference on Acoustic, Speech, Signal Processing, ICASSP’84, March 1984, volume 9, pp.250–253. [9] D. E. Metafas and C. E. Goutis, ―A floating point pipeline CORDIC processor with extended operation set,‖ in IEEE International Symposium on Circuits and Systems, ISCAS’91, June 1991, volume 5, pp. 3066–3069.
  • 6. Ramanpreet Kaur et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 4), April 2014, pp.99-104 www.ijera.com 104 | P a g e Ramanpreet Kaur currently pursiuing M-Tech degree in ―Electronics & Communication Engineering‖ at Department of E&CE Yadavindra Collegeof Engineering,Talwandi Sabo. Her research interests are in simulation of digital circuits and FPGA Implementation. Er. Parminder Singh Jassal received the M. Tech degree in VLSI Design from (C-DAC, Mohali) Punjab Technical University in 2006. He is currently working as Assistant Professor in Department of Electronics and Communication Engineering at Yadavindra College of Engineering, Punjabi University Guru kashi Campus, Talwandi Sabo. Previously working at NIT Hamirpur as a VLSI faculty under special Manpower development in VLSI Area (SMDP-II). His main research interests are in High speed digital VLSI circuits, Low power, synthesis and Simulation of digital circuits and FPGA Implementation.