SlideShare ist ein Scribd-Unternehmen logo
1 von 7
Downloaden Sie, um offline zu lesen
International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN
   INTERNATIONAL JOURNAL OF ELECTRONICS AND
   0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME
COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)
ISSN 0976 – 6464(Print)
ISSN 0976 – 6472(Online)
Volume 4, Issue 2, March – April, 2013, pp. 243-249
                                                                                IJECET
© IAEME: www.iaeme.com/ijecet.asp
Journal Impact Factor (2013): 5.8896 (Calculated by GISI)
                                                                                ©IAEME
www.jifactor.com




      LOW POWER CMOS BINARY COUNTER USING CONVENTIONAL
                         FLIP - FLOPS

           G. RAMESH1, J. PAUL RICHARDSON GNANARAJ2, M. VELVIZHI3,
                               G. JESLY MARSHA4
                          1, 2, 3, 4
                                       (ECE, Anna University, Chennai, India)


   ABSTRACT

           The performance of the proposed technique with the conventional clock gated and
   conventional non clock gated FFs were designed in 0.18-µm CMOS technology. The
   comparison and selection was based on the operation principle and the structure, since each
   type of FFs takes on a different behaviour in terms of redundant transitions depending on the
   factors. For the conventional counters, the amount of power consumed in FFs and logic gates
   used for evaluating the next counter values for implementing the clock gating are measured,
   including the power consumption and the clock inputs of the FFs and the logic gates. For the
   proposed counters, the amount of power consumed in FFs and LCGs are measured. The
   experimental result indicates that the proposed synchronous counter achieves a power saving
   and device count reduction.

   Keywords: Clock Gating, Non - clock gating, and Proposed clock gating - D flip-flops,
   HLFF, TGFF, Sense-Amplifier, Counter.

 I.       INTRODUCTION

            In the past, the major concerns of the VLSI designer were area, performance, cost and
   reliability; power considerations were mostly of only secondary importance. In recent years,
   however, this has begun to change and, increasingly, power is being given comparable weight
   to area and speed in VLSI design. The continuing increase in chip scale and operating
   frequency has made power consumption a major concern in VLSI design. The need for low-
   power design is also becoming a major issue in high-performance digital systems, such as
   microprocessors, digital signal processors (DSPs) and other applications. Increasing chip
   density and higher operating speed lead to the design of very complex chips with high clock
   frequencies.
                                                     243
International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN
  0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME

II.      CIRCUIT DESIGN

          One of the best ways to reduce the power dissipation of a circuit is to lower the
  transistor supply voltage. Power reduces proportionally with the square of the of the supply
  voltage, while other components like frequency and capacitance produce only a linear
  reduction in power consumption. It can therefore be beneficial to determine the minimum
  voltage a circuit can successfully run, thus producing very low power consumption. This
  project attempts to determine the lowest successful operating voltage of a 4 bit counter in a
  high leakage, small feature size process. This experiment was completed in a series of steps.
  First, an appropriate counter design was selected. Second, the various design and simulation
  tools were identified and studied. Last, the design was implemented and the results were
  measured and evaluated.

      A. Timing Principles

          There are a number of factors to consider when choosing an appropriate design for a
  low voltage counter. By using a high static leakage technology, a large number of transistors
  in the design can be a significant source of static power dissipation. It can therefore be
  beneficial to keep the size of the circuit as small as possible. However, if in reducing the size
  of the circuit, the delay is increased, then this can negatively affect the amount of energy
  consumed per cycle. The simplest counter with the fewest number of gates is the
  asynchronous (ripple) counter shown in Figure 1. This type of counter can be constructed
  using nothing but flip-flops connected in series. Each previous flip flop acts as the clock for
  the higher order flip flop. When the least significant bit makes a transition, the information is
  rippled through to each successive flip-flop changing values as necessary [1]. The
  asynchronous counter can be useful in small counters, but as the number of bits of the counter
  grows, this ripple effect causes an increasing delay period in which the output of the counter
  is indeterminate.

      B. Synchronous timing principle

         The operation of conventional synchronous counters is usually based on a
  synchronous timing principle in which new data values of the entire counter bits are
  evaluated at every clock cycle and captured by associated flip-flops (FFs) at every triggering
  edge of the clock. Because the switching activity of counter bits in a binary counter is
  decreased by half as the significance of each bit increases, this type of operation apparently
  causes a lot of redundant transitions, particularly for counter bits having higher significance.

      C. Selection of synchronous Principle

          Synchronous counters solve the ripple effect problem by clocking every flip-flop
  simultaneously. All of the flip-flops make their transitions at the same time, and the
  information travels from the output to the input before the next rising edge of the clock. In a
  synchronous counter the indeterminate period of all of the flip-flops is the same, but as with
  the asynchronous counter, the delay of each flip-flop still increases as the number of bits
  grows The maximum delay of the synchronous counter, while also increasing, is significantly
  better at 1 flip-flop plus 16 AND gates.

                                                244
International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN
   0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME




                                Fig 1. 2-Bit Asynchronous Counter

          For this simulation, I chose the synchronous counter due to its ability to scale to a
   higher number of bits and adding a minimal amount of delay per bit. In a high leakage
   technology, reducing the delay can potentially help to reduce the amount of static leakage
   power consumed in the circuit. Also, by using a high bit count asynchronous counter in
   combination with low voltage, the unstable period where the counter produces the wrong
   value would become excessive.




                                 Fig 2. 2-Bit Synchronous Counter

III.   DESIGN OF FLIP – FLOPS USING DIFFERENT CLOCKING METHODS

       A. Conventional Clock Gated

           In the conventional timing principle, the output of the combinational logic block is fed
   into the data input of the FF, and the triggering operation of the FF is controlled by a global
   clock (CK). Then, the input to the FF coming from the combinational logic block is
   unconditionally captured at every triggering edge of CK regardless of the previous value of
   the FF to advance system states, as shown in Fig. 3.




               Fig. 3 Generic structure of conventional synchronous timing principle



                                                 245
International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN
  0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME

      B. Proposed Timing Principle

          The proposed conditional pulse-based timing principle shown in Fig. 4, the output of
  the combinational logic block, which is shaped as a conditional pulsed signal, feeds the
  triggering input of the FF, and an inverted output of the FF is fed into the data input of the FF
  itself.




                           Fig. 4 Generic structure of proposed principle

      C. Conventional Clock Gated

          The method is based on the clock gate design which can lead to power reduction
  without unduly complicating the traditionally simple topology. The analysis demonstrated
  that the power reduction hardly depends on the technological characteristic of the gates
  employed. Finally, the real efficiency of the presented gated-clock design should be evaluated
  also considering the increased silicon area required to implement the gating circuit in Fig 5.




                            Fig. 5 Conventional clock gated principle


IV.   SIMULATION RESULTS AND COMPARISON OF FLIP FLOPS

         A. Simulation Results

                The simulation was performed using LT SPICE 0.18um technology and the
         comparison of conventional clock gated, non clock gated and proposed clock gated
         technique have been proposed and the simulation results shows that the proposed
         clock gated technique results in reduction of power by 12%



                                                246
International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN
0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME




                       Fig. 6 D Flip Flop circuit with non-gated clock




                          Fig.7 D Flip Flop circuit with gated clock




                    Fig. 8 D Flip Flop circuit with proposed gated clock

       B. COMPARISON OF POWER CONSUMPTION AND SILICON AREA IN FFS

                                                  No of
                Comparisons of FFs                                     Power
                                               transistors
          Conventional non-clock-gated             10                  80µW

          Conventional clock gated                 26                  95µW

          Proposed clock gated                     12                  75µW

       When this technique implemented in counters with several representative FFs such as
transmission-gate FF (TGFF) , hybrid latch FF (HLFF) , and sense - amplifier-based FF
(SAFF) were used as clocked storage elements for each type of counter. The selection of FF
types was based on the operation principle (static versus dynamic) and the structure (single
ended versus differential), since each type of FFs takes on a different behavior in terms of
redundant transitions depending on these factors.
                                             247
International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN
 0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME




                         Fig. 9 Transient Analysis of Counter Circuit




             Fig 10 comparison of transistors and power consumption of flip flops




               Fig. 11 Comparison of Power Dissipation of TGFF, HLFF, SAFF

V.   CONCLUSION

         This paper deals with the reduction of power and area in FFs which are used in the
 proposed counter. The analysis of power and area for FFs with conventional non -clock
 gated, conventional clock gated and proposed clock gated techniques to reduce power and
 area reduction in FFs and are carried out in CMOS counters in which FFs captures the value
 at every triggering edge of the clock. The experimental result using a 0.18-µm CMOS process
 technology indicates that the proposed principle used in FFs achieves power and device
 savings. Hence FFs using proposed timing principle, the area and power thereby can be
 reduced and hence there will be a reduction in power and devices and also we can eliminate
 redundant transitions and switching power consumption.


                                              248
International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN
0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME

REFERENCES

Journal Papers

[1] N. H. E. Weste and D. Harris, CMOS VLSI Design. Reading, MA: Pearson Education,
Inc., 2005.
[2] M. R. Stan, A. F. Tenca, and M. D. Ercegovac, “Long and fast up/down counters,” IEEE
Trans. Comput., vol. 47, no. 7, pp. 722–735, Jul. 1998.
[3] M. Katoozi and M. Soma, “A testable CMOS synchronous counter,” IEEE J. Solid-State
Circuits, vol. 23, no. 5, pp. 1241–1248, Oct. 1988.
[4] M. Nogawa and Y. Ohtomo, “A data-transition look-ahead DFF circuit for statistical
reduction in power consumption,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 702–706,
May 1998.
[5] B.-S. Kong, S.-S. Kim, and Y.-H. Jun, “Conditional-capture flip-flop for statistical power
reduction,” IEEE J. Solid-State Circuits, vol. 36, no. 8, pp. 1263–1271, Aug. 2001.
[6] H. Jacobson, P. Bose, Z. Hu, A. Buyuktosunoglu, V. Zyuban, R. Eickemeyer, L. Eisen, J.
Griswell, D. Logan, B. Sinharoy, and J. Tendler, “Stretching the limits of clock-gating
efficiency in serverclass processors,” in Proc. Int. Symp. High-Perform. Comput. Archit.,
Feb. 2005, pp. 238–242.
[7] W. Aloisi and R. Mita, “Gated-clock design of linear-feedback shift registers,” IEEE
Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 6, pp. 546–550, Jun. 2008.
[8] H. Partovi, R. Burd, U. Salim, F. Weber, L. DiGregorio, and D. Draper, “Flow-through
latch and edge-triggered flip-flop hybrid elements,” in Proc. IEEE Int. Solid-State Circuits
Conf., Feb. 1996, pp. 138–139.
[9] B. Nikolic, V. G. Oklobdzija, V. Stojanovic, W. Jia, J. K.-S. Chiu, and M. M.-T. Leung,
“Improved sense-amplifier-based flip-flop: Design and measurements,” IEEE J. Solid-State
Circuits, vol. 35, no. 6, pp. 876–883, Jun. 2000.
[10] Kim, Young-Won, Kim, Joo-Seong; Oh, Jae-Hyuk; Park, Yoon-Suk; Kim, Jong-Woo;
Park, Kwang-Il Il; Kong, Bai-Sun; Jun, Young-Hyun Hyun, “Low power cmos synchronous
counter with clock gating embedded into carry propagation,” IEEE J. Circuits & Systems II,
vol. 56, no. 8,pp. 649-653, Aug. 2009.
[11] Khaja Mujeebuddin Quadry, Dr. Syed Abdul Sattar and Dr. K. Soundara Rajan, “Design
of 16 Bit Low Power Processor using Clock Gating Technique”, International journal of
Electronics and Communication Engineering & Technology (IJECET), Volume 3, Issue 3,
2012, pp. 333 - 340, ISSN Print: 0976- 6464, ISSN Online: 0976 –6472.
[12] P.Sreenivasulu, Krishnna veni ,Dr. K.Srinivasa Rao and Dr.A.VinayaBabu, “Low Power
Design Techniques of CMOS Digital Circuits”, International journal of Electronics
and Communication Engineering & Technology (IJECET), Volume 3, Issue 2, 2012,
pp. 199 - 208, ISSN Print: 0976- 6464, ISSN Online: 0976 –6472.




                                             249

Weitere ähnliche Inhalte

Was ist angesagt?

Design and Implementation of PCB Using CNC
Design and Implementation of PCB Using CNCDesign and Implementation of PCB Using CNC
Design and Implementation of PCB Using CNCIRJET Journal
 
Layout Design Implementation of NOR Gate
Layout Design Implementation of NOR Gate Layout Design Implementation of NOR Gate
Layout Design Implementation of NOR Gate IJEEE
 
DC Motor Speed Control for a Plant Based On PID Controller
DC Motor Speed Control for a Plant Based On PID ControllerDC Motor Speed Control for a Plant Based On PID Controller
DC Motor Speed Control for a Plant Based On PID Controllerpaperpublications3
 
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...IRJET Journal
 
Power optimisation scheme of induction motor using FLC for electric vehicle
Power optimisation scheme of induction motor using FLC for electric vehiclePower optimisation scheme of induction motor using FLC for electric vehicle
Power optimisation scheme of induction motor using FLC for electric vehicleAsoka Technologies
 
Degradation of ICI in OFDM Communication System by Analyzing I/Q Imbalance an...
Degradation of ICI in OFDM Communication System by Analyzing I/Q Imbalance an...Degradation of ICI in OFDM Communication System by Analyzing I/Q Imbalance an...
Degradation of ICI in OFDM Communication System by Analyzing I/Q Imbalance an...IRJET Journal
 
Hopfield neural network based selective harmonic elimination for h bridge
Hopfield neural network based selective harmonic elimination for h bridgeHopfield neural network based selective harmonic elimination for h bridge
Hopfield neural network based selective harmonic elimination for h bridgeIAEME Publication
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...IJERA Editor
 
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...IRJET Journal
 
Fuzzy gain scheduling control apply to an RC Hovercraft
Fuzzy gain scheduling control apply to an RC Hovercraft  Fuzzy gain scheduling control apply to an RC Hovercraft
Fuzzy gain scheduling control apply to an RC Hovercraft IJECEIAES
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate IJEEE
 
Design and development of fpga based temperature measurement and control
Design and development of fpga based temperature measurement and controlDesign and development of fpga based temperature measurement and control
Design and development of fpga based temperature measurement and controlIAEME Publication
 
Layout of b.tech_project_report
Layout of b.tech_project_reportLayout of b.tech_project_report
Layout of b.tech_project_reportAnurag Farkya
 
IRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET Journal
 

Was ist angesagt? (19)

Design and Implementation of PCB Using CNC
Design and Implementation of PCB Using CNCDesign and Implementation of PCB Using CNC
Design and Implementation of PCB Using CNC
 
Designing Of Time and Power Efficient Model for Controlling Of Critical Process
Designing Of Time and Power Efficient Model for Controlling Of Critical ProcessDesigning Of Time and Power Efficient Model for Controlling Of Critical Process
Designing Of Time and Power Efficient Model for Controlling Of Critical Process
 
Layout Design Implementation of NOR Gate
Layout Design Implementation of NOR Gate Layout Design Implementation of NOR Gate
Layout Design Implementation of NOR Gate
 
DC Motor Speed Control for a Plant Based On PID Controller
DC Motor Speed Control for a Plant Based On PID ControllerDC Motor Speed Control for a Plant Based On PID Controller
DC Motor Speed Control for a Plant Based On PID Controller
 
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
 
Power optimisation scheme of induction motor using FLC for electric vehicle
Power optimisation scheme of induction motor using FLC for electric vehiclePower optimisation scheme of induction motor using FLC for electric vehicle
Power optimisation scheme of induction motor using FLC for electric vehicle
 
Degradation of ICI in OFDM Communication System by Analyzing I/Q Imbalance an...
Degradation of ICI in OFDM Communication System by Analyzing I/Q Imbalance an...Degradation of ICI in OFDM Communication System by Analyzing I/Q Imbalance an...
Degradation of ICI in OFDM Communication System by Analyzing I/Q Imbalance an...
 
Project_Report_Debargha
Project_Report_DebarghaProject_Report_Debargha
Project_Report_Debargha
 
Hopfield neural network based selective harmonic elimination for h bridge
Hopfield neural network based selective harmonic elimination for h bridgeHopfield neural network based selective harmonic elimination for h bridge
Hopfield neural network based selective harmonic elimination for h bridge
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
 
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
 
Fuzzy gain scheduling control apply to an RC Hovercraft
Fuzzy gain scheduling control apply to an RC Hovercraft  Fuzzy gain scheduling control apply to an RC Hovercraft
Fuzzy gain scheduling control apply to an RC Hovercraft
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate
 
Pa3426282645
Pa3426282645Pa3426282645
Pa3426282645
 
Design and development of fpga based temperature measurement and control
Design and development of fpga based temperature measurement and controlDesign and development of fpga based temperature measurement and control
Design and development of fpga based temperature measurement and control
 
Layout of b.tech_project_report
Layout of b.tech_project_reportLayout of b.tech_project_report
Layout of b.tech_project_report
 
IRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA Structure
 
Dx34756759
Dx34756759Dx34756759
Dx34756759
 
40120130406003
4012013040600340120130406003
40120130406003
 

Andere mochten auch

Employee retention in an organization through knowledge networking
Employee retention in an organization through knowledge networkingEmployee retention in an organization through knowledge networking
Employee retention in an organization through knowledge networkingIAEME Publication
 
Medical image fusion using curvelet transform 2-3-4-5
Medical image fusion using curvelet transform 2-3-4-5Medical image fusion using curvelet transform 2-3-4-5
Medical image fusion using curvelet transform 2-3-4-5IAEME Publication
 
Has economic value added an impact on market price of shares
Has economic value added an impact on market price of sharesHas economic value added an impact on market price of shares
Has economic value added an impact on market price of sharesIAEME Publication
 
Cfd analysis of turbulence in a gas turbine combustor with reference to the c...
Cfd analysis of turbulence in a gas turbine combustor with reference to the c...Cfd analysis of turbulence in a gas turbine combustor with reference to the c...
Cfd analysis of turbulence in a gas turbine combustor with reference to the c...IAEME Publication
 
Optimization of production process and preservation of jalebi by using heat
Optimization of production process and preservation of jalebi by using heatOptimization of production process and preservation of jalebi by using heat
Optimization of production process and preservation of jalebi by using heatIAEME Publication
 
Selection criterion and implementation of case tools in gap analysis towa
Selection criterion and implementation of case tools in gap analysis towaSelection criterion and implementation of case tools in gap analysis towa
Selection criterion and implementation of case tools in gap analysis towaIAEME Publication
 
Non symbolic base64 an effective representation of ipv6 address
Non symbolic base64 an effective representation of ipv6 addressNon symbolic base64 an effective representation of ipv6 address
Non symbolic base64 an effective representation of ipv6 addressIAEME Publication
 
Art of fatigue analysis of helical compression spring used in two
Art of fatigue analysis of helical compression spring used in twoArt of fatigue analysis of helical compression spring used in two
Art of fatigue analysis of helical compression spring used in twoIAEME Publication
 
Construction project and change order management contemporary affirm
Construction project and change order management contemporary affirmConstruction project and change order management contemporary affirm
Construction project and change order management contemporary affirmIAEME Publication
 

Andere mochten auch (9)

Employee retention in an organization through knowledge networking
Employee retention in an organization through knowledge networkingEmployee retention in an organization through knowledge networking
Employee retention in an organization through knowledge networking
 
Medical image fusion using curvelet transform 2-3-4-5
Medical image fusion using curvelet transform 2-3-4-5Medical image fusion using curvelet transform 2-3-4-5
Medical image fusion using curvelet transform 2-3-4-5
 
Has economic value added an impact on market price of shares
Has economic value added an impact on market price of sharesHas economic value added an impact on market price of shares
Has economic value added an impact on market price of shares
 
Cfd analysis of turbulence in a gas turbine combustor with reference to the c...
Cfd analysis of turbulence in a gas turbine combustor with reference to the c...Cfd analysis of turbulence in a gas turbine combustor with reference to the c...
Cfd analysis of turbulence in a gas turbine combustor with reference to the c...
 
Optimization of production process and preservation of jalebi by using heat
Optimization of production process and preservation of jalebi by using heatOptimization of production process and preservation of jalebi by using heat
Optimization of production process and preservation of jalebi by using heat
 
Selection criterion and implementation of case tools in gap analysis towa
Selection criterion and implementation of case tools in gap analysis towaSelection criterion and implementation of case tools in gap analysis towa
Selection criterion and implementation of case tools in gap analysis towa
 
Non symbolic base64 an effective representation of ipv6 address
Non symbolic base64 an effective representation of ipv6 addressNon symbolic base64 an effective representation of ipv6 address
Non symbolic base64 an effective representation of ipv6 address
 
Art of fatigue analysis of helical compression spring used in two
Art of fatigue analysis of helical compression spring used in twoArt of fatigue analysis of helical compression spring used in two
Art of fatigue analysis of helical compression spring used in two
 
Construction project and change order management contemporary affirm
Construction project and change order management contemporary affirmConstruction project and change order management contemporary affirm
Construction project and change order management contemporary affirm
 

Ähnlich wie Low power cmos binary counter using conventional flip flops

Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3IAEME Publication
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...ijsrd.com
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingIRJET Journal
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniquesiosrjce
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterIJMTST Journal
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET Journal
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET Journal
 
Design and analysis of dual-mode numerically controlled oscillators based co...
Design and analysis of dual-mode numerically controlled  oscillators based co...Design and analysis of dual-mode numerically controlled  oscillators based co...
Design and analysis of dual-mode numerically controlled oscillators based co...IJECEIAES
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeIRJET Journal
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter designIJECEIAES
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...IRJET Journal
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...VLSICS Design
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...VLSICS Design
 
IRJET- Data Acquisition using Tensile Strength Testing Machine
IRJET- Data Acquisition using Tensile Strength Testing MachineIRJET- Data Acquisition using Tensile Strength Testing Machine
IRJET- Data Acquisition using Tensile Strength Testing MachineIRJET Journal
 
Reducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architectureReducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architectureVLSICS Design
 
Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2IAEME Publication
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateIJEEE
 
An Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS GateAn Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS GateIJERA Editor
 

Ähnlich wie Low power cmos binary counter using conventional flip flops (20)

Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
 
Design and analysis of dual-mode numerically controlled oscillators based co...
Design and analysis of dual-mode numerically controlled  oscillators based co...Design and analysis of dual-mode numerically controlled  oscillators based co...
Design and analysis of dual-mode numerically controlled oscillators based co...
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter design
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
 
IRJET- Data Acquisition using Tensile Strength Testing Machine
IRJET- Data Acquisition using Tensile Strength Testing MachineIRJET- Data Acquisition using Tensile Strength Testing Machine
IRJET- Data Acquisition using Tensile Strength Testing Machine
 
Reducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architectureReducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architecture
 
Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission Gate
 
An Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS GateAn Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS Gate
 

Mehr von IAEME Publication

IAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdfIAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdfIAEME Publication
 
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...IAEME Publication
 
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURSA STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURSIAEME Publication
 
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURSBROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURSIAEME Publication
 
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONSDETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONSIAEME Publication
 
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONSANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONSIAEME Publication
 
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINOVOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINOIAEME Publication
 
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...IAEME Publication
 
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMYVISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMYIAEME Publication
 
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...IAEME Publication
 
GANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICEGANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICEIAEME Publication
 
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...IAEME Publication
 
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...IAEME Publication
 
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...IAEME Publication
 
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...IAEME Publication
 
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...IAEME Publication
 
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...IAEME Publication
 
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...IAEME Publication
 
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...IAEME Publication
 
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENTA MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENTIAEME Publication
 

Mehr von IAEME Publication (20)

IAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdfIAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdf
 
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
 
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURSA STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
 
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURSBROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
 
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONSDETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
 
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONSANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
 
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINOVOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
 
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
 
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMYVISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
 
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
 
GANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICEGANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICE
 
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
 
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
 
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
 
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
 
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
 
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
 
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
 
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
 
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENTA MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
 

Kürzlich hochgeladen

A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxLoriGlavin3
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersNicole Novielli
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxLoriGlavin3
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxLoriGlavin3
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.Curtis Poe
 
Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfLoriGlavin3
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
DSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningDSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningLars Bell
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxLoriGlavin3
 
Sample pptx for embedding into website for demo
Sample pptx for embedding into website for demoSample pptx for embedding into website for demo
Sample pptx for embedding into website for demoHarshalMandlekar2
 
Time Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directionsTime Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directionsNathaniel Shimoni
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 

Kürzlich hochgeladen (20)

A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software Developers
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptx
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.
 
Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdf
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
DSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningDSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine Tuning
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
 
Sample pptx for embedding into website for demo
Sample pptx for embedding into website for demoSample pptx for embedding into website for demo
Sample pptx for embedding into website for demo
 
Time Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directionsTime Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directions
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 

Low power cmos binary counter using conventional flip flops

  • 1. International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN INTERNATIONAL JOURNAL OF ELECTRONICS AND 0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) ISSN 0976 – 6464(Print) ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April, 2013, pp. 243-249 IJECET © IAEME: www.iaeme.com/ijecet.asp Journal Impact Factor (2013): 5.8896 (Calculated by GISI) ©IAEME www.jifactor.com LOW POWER CMOS BINARY COUNTER USING CONVENTIONAL FLIP - FLOPS G. RAMESH1, J. PAUL RICHARDSON GNANARAJ2, M. VELVIZHI3, G. JESLY MARSHA4 1, 2, 3, 4 (ECE, Anna University, Chennai, India) ABSTRACT The performance of the proposed technique with the conventional clock gated and conventional non clock gated FFs were designed in 0.18-µm CMOS technology. The comparison and selection was based on the operation principle and the structure, since each type of FFs takes on a different behaviour in terms of redundant transitions depending on the factors. For the conventional counters, the amount of power consumed in FFs and logic gates used for evaluating the next counter values for implementing the clock gating are measured, including the power consumption and the clock inputs of the FFs and the logic gates. For the proposed counters, the amount of power consumed in FFs and LCGs are measured. The experimental result indicates that the proposed synchronous counter achieves a power saving and device count reduction. Keywords: Clock Gating, Non - clock gating, and Proposed clock gating - D flip-flops, HLFF, TGFF, Sense-Amplifier, Counter. I. INTRODUCTION In the past, the major concerns of the VLSI designer were area, performance, cost and reliability; power considerations were mostly of only secondary importance. In recent years, however, this has begun to change and, increasingly, power is being given comparable weight to area and speed in VLSI design. The continuing increase in chip scale and operating frequency has made power consumption a major concern in VLSI design. The need for low- power design is also becoming a major issue in high-performance digital systems, such as microprocessors, digital signal processors (DSPs) and other applications. Increasing chip density and higher operating speed lead to the design of very complex chips with high clock frequencies. 243
  • 2. International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME II. CIRCUIT DESIGN One of the best ways to reduce the power dissipation of a circuit is to lower the transistor supply voltage. Power reduces proportionally with the square of the of the supply voltage, while other components like frequency and capacitance produce only a linear reduction in power consumption. It can therefore be beneficial to determine the minimum voltage a circuit can successfully run, thus producing very low power consumption. This project attempts to determine the lowest successful operating voltage of a 4 bit counter in a high leakage, small feature size process. This experiment was completed in a series of steps. First, an appropriate counter design was selected. Second, the various design and simulation tools were identified and studied. Last, the design was implemented and the results were measured and evaluated. A. Timing Principles There are a number of factors to consider when choosing an appropriate design for a low voltage counter. By using a high static leakage technology, a large number of transistors in the design can be a significant source of static power dissipation. It can therefore be beneficial to keep the size of the circuit as small as possible. However, if in reducing the size of the circuit, the delay is increased, then this can negatively affect the amount of energy consumed per cycle. The simplest counter with the fewest number of gates is the asynchronous (ripple) counter shown in Figure 1. This type of counter can be constructed using nothing but flip-flops connected in series. Each previous flip flop acts as the clock for the higher order flip flop. When the least significant bit makes a transition, the information is rippled through to each successive flip-flop changing values as necessary [1]. The asynchronous counter can be useful in small counters, but as the number of bits of the counter grows, this ripple effect causes an increasing delay period in which the output of the counter is indeterminate. B. Synchronous timing principle The operation of conventional synchronous counters is usually based on a synchronous timing principle in which new data values of the entire counter bits are evaluated at every clock cycle and captured by associated flip-flops (FFs) at every triggering edge of the clock. Because the switching activity of counter bits in a binary counter is decreased by half as the significance of each bit increases, this type of operation apparently causes a lot of redundant transitions, particularly for counter bits having higher significance. C. Selection of synchronous Principle Synchronous counters solve the ripple effect problem by clocking every flip-flop simultaneously. All of the flip-flops make their transitions at the same time, and the information travels from the output to the input before the next rising edge of the clock. In a synchronous counter the indeterminate period of all of the flip-flops is the same, but as with the asynchronous counter, the delay of each flip-flop still increases as the number of bits grows The maximum delay of the synchronous counter, while also increasing, is significantly better at 1 flip-flop plus 16 AND gates. 244
  • 3. International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME Fig 1. 2-Bit Asynchronous Counter For this simulation, I chose the synchronous counter due to its ability to scale to a higher number of bits and adding a minimal amount of delay per bit. In a high leakage technology, reducing the delay can potentially help to reduce the amount of static leakage power consumed in the circuit. Also, by using a high bit count asynchronous counter in combination with low voltage, the unstable period where the counter produces the wrong value would become excessive. Fig 2. 2-Bit Synchronous Counter III. DESIGN OF FLIP – FLOPS USING DIFFERENT CLOCKING METHODS A. Conventional Clock Gated In the conventional timing principle, the output of the combinational logic block is fed into the data input of the FF, and the triggering operation of the FF is controlled by a global clock (CK). Then, the input to the FF coming from the combinational logic block is unconditionally captured at every triggering edge of CK regardless of the previous value of the FF to advance system states, as shown in Fig. 3. Fig. 3 Generic structure of conventional synchronous timing principle 245
  • 4. International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME B. Proposed Timing Principle The proposed conditional pulse-based timing principle shown in Fig. 4, the output of the combinational logic block, which is shaped as a conditional pulsed signal, feeds the triggering input of the FF, and an inverted output of the FF is fed into the data input of the FF itself. Fig. 4 Generic structure of proposed principle C. Conventional Clock Gated The method is based on the clock gate design which can lead to power reduction without unduly complicating the traditionally simple topology. The analysis demonstrated that the power reduction hardly depends on the technological characteristic of the gates employed. Finally, the real efficiency of the presented gated-clock design should be evaluated also considering the increased silicon area required to implement the gating circuit in Fig 5. Fig. 5 Conventional clock gated principle IV. SIMULATION RESULTS AND COMPARISON OF FLIP FLOPS A. Simulation Results The simulation was performed using LT SPICE 0.18um technology and the comparison of conventional clock gated, non clock gated and proposed clock gated technique have been proposed and the simulation results shows that the proposed clock gated technique results in reduction of power by 12% 246
  • 5. International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME Fig. 6 D Flip Flop circuit with non-gated clock Fig.7 D Flip Flop circuit with gated clock Fig. 8 D Flip Flop circuit with proposed gated clock B. COMPARISON OF POWER CONSUMPTION AND SILICON AREA IN FFS No of Comparisons of FFs Power transistors Conventional non-clock-gated 10 80µW Conventional clock gated 26 95µW Proposed clock gated 12 75µW When this technique implemented in counters with several representative FFs such as transmission-gate FF (TGFF) , hybrid latch FF (HLFF) , and sense - amplifier-based FF (SAFF) were used as clocked storage elements for each type of counter. The selection of FF types was based on the operation principle (static versus dynamic) and the structure (single ended versus differential), since each type of FFs takes on a different behavior in terms of redundant transitions depending on these factors. 247
  • 6. International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME Fig. 9 Transient Analysis of Counter Circuit Fig 10 comparison of transistors and power consumption of flip flops Fig. 11 Comparison of Power Dissipation of TGFF, HLFF, SAFF V. CONCLUSION This paper deals with the reduction of power and area in FFs which are used in the proposed counter. The analysis of power and area for FFs with conventional non -clock gated, conventional clock gated and proposed clock gated techniques to reduce power and area reduction in FFs and are carried out in CMOS counters in which FFs captures the value at every triggering edge of the clock. The experimental result using a 0.18-µm CMOS process technology indicates that the proposed principle used in FFs achieves power and device savings. Hence FFs using proposed timing principle, the area and power thereby can be reduced and hence there will be a reduction in power and devices and also we can eliminate redundant transitions and switching power consumption. 248
  • 7. International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 – 6464(Print), ISSN 0976 – 6472(Online) Volume 4, Issue 2, March – April (2013), © IAEME REFERENCES Journal Papers [1] N. H. E. Weste and D. Harris, CMOS VLSI Design. Reading, MA: Pearson Education, Inc., 2005. [2] M. R. Stan, A. F. Tenca, and M. D. Ercegovac, “Long and fast up/down counters,” IEEE Trans. Comput., vol. 47, no. 7, pp. 722–735, Jul. 1998. [3] M. Katoozi and M. Soma, “A testable CMOS synchronous counter,” IEEE J. Solid-State Circuits, vol. 23, no. 5, pp. 1241–1248, Oct. 1988. [4] M. Nogawa and Y. Ohtomo, “A data-transition look-ahead DFF circuit for statistical reduction in power consumption,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 702–706, May 1998. [5] B.-S. Kong, S.-S. Kim, and Y.-H. Jun, “Conditional-capture flip-flop for statistical power reduction,” IEEE J. Solid-State Circuits, vol. 36, no. 8, pp. 1263–1271, Aug. 2001. [6] H. Jacobson, P. Bose, Z. Hu, A. Buyuktosunoglu, V. Zyuban, R. Eickemeyer, L. Eisen, J. Griswell, D. Logan, B. Sinharoy, and J. Tendler, “Stretching the limits of clock-gating efficiency in serverclass processors,” in Proc. Int. Symp. High-Perform. Comput. Archit., Feb. 2005, pp. 238–242. [7] W. Aloisi and R. Mita, “Gated-clock design of linear-feedback shift registers,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 6, pp. 546–550, Jun. 2008. [8] H. Partovi, R. Burd, U. Salim, F. Weber, L. DiGregorio, and D. Draper, “Flow-through latch and edge-triggered flip-flop hybrid elements,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 1996, pp. 138–139. [9] B. Nikolic, V. G. Oklobdzija, V. Stojanovic, W. Jia, J. K.-S. Chiu, and M. M.-T. Leung, “Improved sense-amplifier-based flip-flop: Design and measurements,” IEEE J. Solid-State Circuits, vol. 35, no. 6, pp. 876–883, Jun. 2000. [10] Kim, Young-Won, Kim, Joo-Seong; Oh, Jae-Hyuk; Park, Yoon-Suk; Kim, Jong-Woo; Park, Kwang-Il Il; Kong, Bai-Sun; Jun, Young-Hyun Hyun, “Low power cmos synchronous counter with clock gating embedded into carry propagation,” IEEE J. Circuits & Systems II, vol. 56, no. 8,pp. 649-653, Aug. 2009. [11] Khaja Mujeebuddin Quadry, Dr. Syed Abdul Sattar and Dr. K. Soundara Rajan, “Design of 16 Bit Low Power Processor using Clock Gating Technique”, International journal of Electronics and Communication Engineering & Technology (IJECET), Volume 3, Issue 3, 2012, pp. 333 - 340, ISSN Print: 0976- 6464, ISSN Online: 0976 –6472. [12] P.Sreenivasulu, Krishnna veni ,Dr. K.Srinivasa Rao and Dr.A.VinayaBabu, “Low Power Design Techniques of CMOS Digital Circuits”, International journal of Electronics and Communication Engineering & Technology (IJECET), Volume 3, Issue 2, 2012, pp. 199 - 208, ISSN Print: 0976- 6464, ISSN Online: 0976 –6472. 249