SlideShare ist ein Scribd-Unternehmen logo
1 von 21
Andrew Gardner ASIC prototyping with Certify 12 th  May2009
1. Who are we? 2. Why do  we  prototype an ASIC? 3. A History of FPGAs in Lund and Basingstoke  4. The Process used in Lund and Basingstoke  5. Differences between ASIC and FPGA 6. ASIC partitioning example
Department overview ,[object Object],[object Object],[object Object],[object Object],[object Object]
Why do  we  prototype an ASIC? ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
FPGA A1 Platform: 2001 – 2003  (Xilinx Virtex-E & Altera Apex) ,[object Object],[object Object],[object Object],Dual-mode radio Wide-band (FPGA WANDA) Peripherals card FPGA (one of seven) Graphics add-on Radio port Colour display FPGA prog. card UART ports
FPGA A2 Platform: 2004 – 2007   (Altera Stratix I & II) ,[object Object],[object Object]
FPGA B500 Platform: 2007 – today   (Xilinx Virtex-5) ,[object Object],[object Object]
Current hardware platform  (early 2007) ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Hardware features ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Xilinx Virtex-5 LX330 In excess of 1000 inter-FPGA I/O available 480 I/O switchable in increments of 8 System hardware covered by high coverage boundary-scan test  All clocking and switch controls managed by support FPGA Large amount of flexible I/O routed to I/F connectors, some direct, some decoupled through support FPGA Soft control functions coupled with MicroBlaze allow complete flexibility in firmware A more integrated and tidier solution compared to previous generations of hardware Existing hardware is used as much as possible
Key benefits ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
The FPGA Design Flow PROTOTYPE VOB RTL CERTIFY EDIF NETLIST & CONSTRAINT FILES XILINX PLACE & ROUTE FPGA PROG. BIT-STREAMS 4 4 BOARD DESC. FILE 4 1 DELIVERY TIMING REPORTS Design Files Partition Zippering Timing Info. Pin Assigns … FPGA SPECIFIC VOB ASIC VOB 1 ASIC LEVEL VERIFICATION VOB Regression SW Encryption Keys SRS SRS SRS SRS PROTOTYPE HARDWARE TIMING FILES
Certify front-end ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
ARM AHB Bus Matrix Partitioning
ARM AHB Bus Matrix Partitioning
ARM AHB Bus Matrix Partitioning
Example  wide-band modem design partition FPGA WCDMA  Subsystem A H B - L i t e 52  MHz ( 104  MHz ) 52  MHz MC RAM point to  point links I / O Control bus CPU / DSP MMU I / F Memory AHB IF 26  MHz P o i n t t o p o i n t 2 6 M H z Internal Clock  Frequency ( 5 ) +  / / / - +  +  3 . 84 MHz 7 . 68 Mhz 15 . 36 MHz 52 MHz Slot counter 16  chip strobe 16  chip counter ( 104 MHz )  26 MHz AHB IF 26  MHz AHB IF 26  MHz All WCDMA interrupts 2 6 M H z XXXXXX XXXXXX 26 MHz XXXXXX Rx - stage  1 XXXXXXXXX XXXXXXXXX XXXXXX 52 MHz 15 . 36 MHz XX RAM XXXXXX 15 . 36 MHz 3 . 84 MHz XXXXXX 15 . 36 MHz Path Searcher  1 PS RAM 104 MHz 15 . 36 MHz XXXXXX XX RAM 15 . 36 MHz 7 . 68 MHz 3 . 84 MHz XXXXXX XX RAM 15 . 36 MHz XXXXXX 15 . 36 MHz XXXXXX 1 - XXXXXX XXXXXX 15 . 36 MHz 3 . 84 MHz XXXXXX XXXXXX XXXXXXXXX XXX   RAM XXX   RAM XXX   RAM M M U 52 MHz Slot  counter 16  chip  strobe 16  chip  counter & 8 bits I & Q XXXXXX XXXXXX 15 . 36 MHz 8 bits I & Q ,  0 1 16  bits x  2 RSSI XXXXXX 26 MHz XXXXXX XXXXXX XX RAM 16  16  To DIGRF 32  ,  2  32  ,  2  AHB Bus Matrix 52  MHz Memory with  retention Proprietary bus WSS Sub - block s XXXXXX 26 MHz 7 . 68 MHz XXXXXX 26 MHz 7 . 68 MHz XXXXXX 7 . 68 MHz XXXXXX 26 MHz 1 & & IOs TX  32  (+ 3  to DIIGRF ) XXXXXX 26 MHz 15 . 36 MHz 52 MHz 15 . 36 MHz To DIGRF XX RAM 15 . 36 MHz ( 208 MHz )  52 MHz Dual interface 7 . 68 MHz FIFO ahb 26 to ahb 52 26 MHz 26 MHz 52 MHz 26 MHz 52 MHz 52 MHz 52 MHz 26 MHz 26 MHz FPGA specific FPGA D 3000 Ahb 26  to  ahb 52 26  to  52  bridge Wb _ memory _ controller _ bridge _ rec _ play 26  to  52  bridge _ _ _ Wb _ memory _ controller _ bridge _ tx _ stage _ 3 26  to  52  bridge FPGA D2000 D 3000 D 2000 26 MHz 52 MHz 52 MHz 52 MHz ( 104  MHz ) ( 104  MHz ) mm _ ahb _ 26 to 52 _ bridge ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 1 0 4 M H z ) ( 104 MHz ) 52  MHz ( 104 MHz ) _ _ ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104  MHz ) Psearch 0 _ ahb _ 26 to 52 _ bridge ( 104 MHz ) ( 104 MHz ) ( 208 MHz ) ( 104 MHz ) ( 208 MHz ) ( 52 MHz ) 26 MHz 52 MHz ( 104  MHz ) ( 104 MHz ) ( 1 0 4 M H z ) ( 104  MHz ) ahb 26 to ahb 52 ahb 26 to ahb 52 Strobe  width  comp . tx 3 _ strb _ width _ comp 3 R E M O V E D H assium _ prototype _ WCDMA _ _ _ / - Doc respons / Approved Product name Rev Date Prepared Reference Document no Sheet - 1 - FPGA D3000
Timescales and resources (FPGA firmware only) ,[object Object],[object Object],[object Object],[object Object]
5. Differences between ASIC and FPGA
Differences between ASIC and FPGA ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Differences between ASIC and FPGA  (cont.) ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
THANK YOU  - Questions?

Weitere ähnliche Inhalte

Was ist angesagt?

FPGA_Overview_Ibr_2014
FPGA_Overview_Ibr_2014FPGA_Overview_Ibr_2014
FPGA_Overview_Ibr_2014Ibrahim Hejab
 
Reliability, Availability, and Serviceability (RAS) on ARM64 status - SFO17-203
Reliability, Availability, and Serviceability (RAS) on ARM64 status - SFO17-203Reliability, Availability, and Serviceability (RAS) on ARM64 status - SFO17-203
Reliability, Availability, and Serviceability (RAS) on ARM64 status - SFO17-203Linaro
 
VHDL Practical Exam Guide
VHDL Practical Exam GuideVHDL Practical Exam Guide
VHDL Practical Exam GuideEslam Mohammed
 
Altera Cyclone IV FPGA Customer Presentation
Altera Cyclone IV FPGA Customer PresentationAltera Cyclone IV FPGA Customer Presentation
Altera Cyclone IV FPGA Customer PresentationAltera Corporation
 
BKK16-303 96Boards - TV Platform
BKK16-303 96Boards - TV PlatformBKK16-303 96Boards - TV Platform
BKK16-303 96Boards - TV PlatformLinaro
 
8-Bit CMOS Microcontrollers with nanoWatt Technology
8-Bit CMOS Microcontrollers with nanoWatt Technology8-Bit CMOS Microcontrollers with nanoWatt Technology
8-Bit CMOS Microcontrollers with nanoWatt TechnologyPremier Farnell
 
The FlexTiles Development Platform offers Dual FPGA for 3D SoC Prototyping
The FlexTiles Development Platform offers Dual FPGA for 3D SoC PrototypingThe FlexTiles Development Platform offers Dual FPGA for 3D SoC Prototyping
The FlexTiles Development Platform offers Dual FPGA for 3D SoC PrototypingFlexTiles Team
 
Int 1010 Tcp Offload
Int 1010 Tcp OffloadInt 1010 Tcp Offload
Int 1010 Tcp Offloadshari323
 
ODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort SummaryODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort SummaryODSA Workgroup
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentationklinetik
 
STS with Presto Engineering
STS with Presto EngineeringSTS with Presto Engineering
STS with Presto EngineeringHank Lydick
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Coreijsrd.com
 

Was ist angesagt? (20)

Pic16 c7x
Pic16 c7xPic16 c7x
Pic16 c7x
 
Arm7 architecture
Arm7 architectureArm7 architecture
Arm7 architecture
 
FPGA_Overview_Ibr_2014
FPGA_Overview_Ibr_2014FPGA_Overview_Ibr_2014
FPGA_Overview_Ibr_2014
 
Reliability, Availability, and Serviceability (RAS) on ARM64 status - SFO17-203
Reliability, Availability, and Serviceability (RAS) on ARM64 status - SFO17-203Reliability, Availability, and Serviceability (RAS) on ARM64 status - SFO17-203
Reliability, Availability, and Serviceability (RAS) on ARM64 status - SFO17-203
 
2014 ii c08t-sbc pic para ecg
2014 ii c08t-sbc pic para ecg 2014 ii c08t-sbc pic para ecg
2014 ii c08t-sbc pic para ecg
 
VHDL Practical Exam Guide
VHDL Practical Exam GuideVHDL Practical Exam Guide
VHDL Practical Exam Guide
 
Altera Cyclone IV FPGA Customer Presentation
Altera Cyclone IV FPGA Customer PresentationAltera Cyclone IV FPGA Customer Presentation
Altera Cyclone IV FPGA Customer Presentation
 
ARM
ARMARM
ARM
 
BKK16-303 96Boards - TV Platform
BKK16-303 96Boards - TV PlatformBKK16-303 96Boards - TV Platform
BKK16-303 96Boards - TV Platform
 
8-Bit CMOS Microcontrollers with nanoWatt Technology
8-Bit CMOS Microcontrollers with nanoWatt Technology8-Bit CMOS Microcontrollers with nanoWatt Technology
8-Bit CMOS Microcontrollers with nanoWatt Technology
 
The FlexTiles Development Platform offers Dual FPGA for 3D SoC Prototyping
The FlexTiles Development Platform offers Dual FPGA for 3D SoC PrototypingThe FlexTiles Development Platform offers Dual FPGA for 3D SoC Prototyping
The FlexTiles Development Platform offers Dual FPGA for 3D SoC Prototyping
 
FPGA workshop
FPGA workshopFPGA workshop
FPGA workshop
 
Int 1010 Tcp Offload
Int 1010 Tcp OffloadInt 1010 Tcp Offload
Int 1010 Tcp Offload
 
Final
FinalFinal
Final
 
ODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort SummaryODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort Summary
 
Pc 104 series 1 application showcase
Pc 104 series 1 application showcasePc 104 series 1 application showcase
Pc 104 series 1 application showcase
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentation
 
ARM Processor Tutorial
ARM Processor Tutorial ARM Processor Tutorial
ARM Processor Tutorial
 
STS with Presto Engineering
STS with Presto EngineeringSTS with Presto Engineering
STS with Presto Engineering
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Core
 

Ähnlich wie Synopsys User Group Presentation

SoC - altera's user-customizable arm-based soc
SoC - altera's user-customizable arm-based socSoC - altera's user-customizable arm-based soc
SoC - altera's user-customizable arm-based socSatya Harish
 
POLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewPOLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewAlexander Grudanov
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONieijjournal
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONieijjournal
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONieijjournal1
 
BFSK RT In FPGA Thesis Pres Jps
BFSK RT In FPGA Thesis Pres JpsBFSK RT In FPGA Thesis Pres Jps
BFSK RT In FPGA Thesis Pres Jpsjpsvenn
 
1. FPGA architectures.pdf
1. FPGA architectures.pdf1. FPGA architectures.pdf
1. FPGA architectures.pdfTesfuFiseha1
 
PCIe Gen 3.0 Presentation @ 4th FPGA Camp
PCIe Gen 3.0 Presentation @ 4th FPGA CampPCIe Gen 3.0 Presentation @ 4th FPGA Camp
PCIe Gen 3.0 Presentation @ 4th FPGA CampFPGA Central
 
NIOS II Processor.ppt
NIOS II Processor.pptNIOS II Processor.ppt
NIOS II Processor.pptAtef46
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsAnand Haridass
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionPersiPersi1
 
Lecture Slide (1).pptx
Lecture Slide (1).pptxLecture Slide (1).pptx
Lecture Slide (1).pptxBilalMumtaz9
 
Fujitsu Presents Post-K CPU Specifications
Fujitsu Presents Post-K CPU SpecificationsFujitsu Presents Post-K CPU Specifications
Fujitsu Presents Post-K CPU Specificationsinside-BigData.com
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptxjagadeesh276791
 

Ähnlich wie Synopsys User Group Presentation (20)

SoC - altera's user-customizable arm-based soc
SoC - altera's user-customizable arm-based socSoC - altera's user-customizable arm-based soc
SoC - altera's user-customizable arm-based soc
 
HiPEAC-Keynote.pptx
HiPEAC-Keynote.pptxHiPEAC-Keynote.pptx
HiPEAC-Keynote.pptx
 
POLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewPOLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overview
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
BFSK RT In FPGA Thesis Pres Jps
BFSK RT In FPGA Thesis Pres JpsBFSK RT In FPGA Thesis Pres Jps
BFSK RT In FPGA Thesis Pres Jps
 
1. FPGA architectures.pdf
1. FPGA architectures.pdf1. FPGA architectures.pdf
1. FPGA architectures.pdf
 
PCIe Gen 3.0 Presentation @ 4th FPGA Camp
PCIe Gen 3.0 Presentation @ 4th FPGA CampPCIe Gen 3.0 Presentation @ 4th FPGA Camp
PCIe Gen 3.0 Presentation @ 4th FPGA Camp
 
NIOS II Processor.ppt
NIOS II Processor.pptNIOS II Processor.ppt
NIOS II Processor.ppt
 
Fpga
FpgaFpga
Fpga
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of Systems
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
 
Lecture Slide (1).pptx
Lecture Slide (1).pptxLecture Slide (1).pptx
Lecture Slide (1).pptx
 
Fujitsu Presents Post-K CPU Specifications
Fujitsu Presents Post-K CPU SpecificationsFujitsu Presents Post-K CPU Specifications
Fujitsu Presents Post-K CPU Specifications
 
9.atmel
9.atmel9.atmel
9.atmel
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptx
 
Smart logic
Smart logicSmart logic
Smart logic
 

Synopsys User Group Presentation

  • 1. Andrew Gardner ASIC prototyping with Certify 12 th May2009
  • 2. 1. Who are we? 2. Why do we prototype an ASIC? 3. A History of FPGAs in Lund and Basingstoke 4. The Process used in Lund and Basingstoke 5. Differences between ASIC and FPGA 6. ASIC partitioning example
  • 3.
  • 4.
  • 5.
  • 6.
  • 7.
  • 8.
  • 9.
  • 10.
  • 11. The FPGA Design Flow PROTOTYPE VOB RTL CERTIFY EDIF NETLIST & CONSTRAINT FILES XILINX PLACE & ROUTE FPGA PROG. BIT-STREAMS 4 4 BOARD DESC. FILE 4 1 DELIVERY TIMING REPORTS Design Files Partition Zippering Timing Info. Pin Assigns … FPGA SPECIFIC VOB ASIC VOB 1 ASIC LEVEL VERIFICATION VOB Regression SW Encryption Keys SRS SRS SRS SRS PROTOTYPE HARDWARE TIMING FILES
  • 12.
  • 13. ARM AHB Bus Matrix Partitioning
  • 14. ARM AHB Bus Matrix Partitioning
  • 15. ARM AHB Bus Matrix Partitioning
  • 16. Example wide-band modem design partition FPGA WCDMA Subsystem A H B - L i t e 52 MHz ( 104 MHz ) 52 MHz MC RAM point to point links I / O Control bus CPU / DSP MMU I / F Memory AHB IF 26 MHz P o i n t t o p o i n t 2 6 M H z Internal Clock Frequency ( 5 ) + / / / - + + 3 . 84 MHz 7 . 68 Mhz 15 . 36 MHz 52 MHz Slot counter 16 chip strobe 16 chip counter ( 104 MHz ) 26 MHz AHB IF 26 MHz AHB IF 26 MHz All WCDMA interrupts 2 6 M H z XXXXXX XXXXXX 26 MHz XXXXXX Rx - stage 1 XXXXXXXXX XXXXXXXXX XXXXXX 52 MHz 15 . 36 MHz XX RAM XXXXXX 15 . 36 MHz 3 . 84 MHz XXXXXX 15 . 36 MHz Path Searcher 1 PS RAM 104 MHz 15 . 36 MHz XXXXXX XX RAM 15 . 36 MHz 7 . 68 MHz 3 . 84 MHz XXXXXX XX RAM 15 . 36 MHz XXXXXX 15 . 36 MHz XXXXXX 1 - XXXXXX XXXXXX 15 . 36 MHz 3 . 84 MHz XXXXXX XXXXXX XXXXXXXXX XXX RAM XXX RAM XXX RAM M M U 52 MHz Slot counter 16 chip strobe 16 chip counter & 8 bits I & Q XXXXXX XXXXXX 15 . 36 MHz 8 bits I & Q , 0 1 16 bits x 2 RSSI XXXXXX 26 MHz XXXXXX XXXXXX XX RAM 16 16 To DIGRF 32 , 2 32 , 2 AHB Bus Matrix 52 MHz Memory with retention Proprietary bus WSS Sub - block s XXXXXX 26 MHz 7 . 68 MHz XXXXXX 26 MHz 7 . 68 MHz XXXXXX 7 . 68 MHz XXXXXX 26 MHz 1 & & IOs TX 32 (+ 3 to DIIGRF ) XXXXXX 26 MHz 15 . 36 MHz 52 MHz 15 . 36 MHz To DIGRF XX RAM 15 . 36 MHz ( 208 MHz ) 52 MHz Dual interface 7 . 68 MHz FIFO ahb 26 to ahb 52 26 MHz 26 MHz 52 MHz 26 MHz 52 MHz 52 MHz 52 MHz 26 MHz 26 MHz FPGA specific FPGA D 3000 Ahb 26 to ahb 52 26 to 52 bridge Wb _ memory _ controller _ bridge _ rec _ play 26 to 52 bridge _ _ _ Wb _ memory _ controller _ bridge _ tx _ stage _ 3 26 to 52 bridge FPGA D2000 D 3000 D 2000 26 MHz 52 MHz 52 MHz 52 MHz ( 104 MHz ) ( 104 MHz ) mm _ ahb _ 26 to 52 _ bridge ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 1 0 4 M H z ) ( 104 MHz ) 52 MHz ( 104 MHz ) _ _ ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) ( 104 MHz ) Psearch 0 _ ahb _ 26 to 52 _ bridge ( 104 MHz ) ( 104 MHz ) ( 208 MHz ) ( 104 MHz ) ( 208 MHz ) ( 52 MHz ) 26 MHz 52 MHz ( 104 MHz ) ( 104 MHz ) ( 1 0 4 M H z ) ( 104 MHz ) ahb 26 to ahb 52 ahb 26 to ahb 52 Strobe width comp . tx 3 _ strb _ width _ comp 3 R E M O V E D H assium _ prototype _ WCDMA _ _ _ / - Doc respons / Approved Product name Rev Date Prepared Reference Document no Sheet - 1 - FPGA D3000
  • 17.
  • 18. 5. Differences between ASIC and FPGA
  • 19.
  • 20.
  • 21. THANK YOU - Questions?