SlideShare a Scribd company logo
1 of 33
Download to read offline
Designing At 2x Nanometers

  Some New Problems Appear, and
      Some Old Ones Remain


     Marco Casale-Rossi
       Synopsys, Inc.


                                                1
                                  © Synopsys 2012
Designing At 2x Nanometers
What Are the Key Areas of Focus?




(1) SION Dielectric/Polysilicon Gate; (2) High-k Dielectric/Metal Gate; (3) Planar CMOS Source: ITRS 2011, AMSL 2010, IBS 2008
(1) SION Dielectric/Polysilicon Gate; (2) High-k Dielectric/Metal Gate; (3) Planar CMOS;

                                                                                                                                           2
                                                                                                                             © Synopsys 2012
More Complexity Challenges
Sheer Complexity Remains the #1 Challenge




                                              Intel CE4200
                                          45 nanometers (2010)
   Intel CE3100, 90 nanometers (2008)   96mm2, ~ 300M transistors
     208mm2, 150M transistors, 10W                7-9W

                                                                         3
                                                           © Synopsys 2012
Gigascale Design Implementation
Evolving Into Two, Closely Connected “Sub-Systems”

• The Initial “sub-system”
   – Fast synthesis, floorplanning, and placement
   – Used for design exploration, constraints clean-up, feasibility
   – Findings – e.g. floorplan – will be “shared” with back-end


• The Full “sub-system”
   – Takes over once “initial” done
   – Full synthesis and place & route
   – Heavy use of special “correction” techniques




                                                                               4
                                                                 © Synopsys 2012
RTL Exploration
~ 6X Faster Than RTL Synthesis
Slack Distribution Comparison, Correlation ±8%
           Slack Histogram                                       Floorplan
         DC-E     DC-T     DC-E w/ Floorplan Information




 -1.3 -0.95 -0.55 -0.15   0.2    0.55 0.95   1.3   1.7     2.1

Source: Synopsys Research 2011

                                                                                           5
                                                                             © Synopsys 2012
Look-Ahead & Physical Guidance
Same Critical Paths, Correlation ±5%


                   Synthesis           Place & Route




Source: Synopsys Research 2011

                                                                     6
                                                       © Synopsys 2012
Gigascale Design Implementation
  Constraints Analysis, Design Exploration & Block Feasibility
  • Initial Floorplan Partitions                 • Final Top Level Floorplan
                                                                                          • Final Chip Implementation
  • Clean Top Level Routing                      • Block Level Floorplan
                                                                                          • Blocks Modeled as ILM/ETM
  • Clean Top Level SDC                          • Timing Budgets




                                   Feasibility Stage                           Implementation Stage

Chip
                     Design Exploration                         Design Planning               Top Assembly
Level

Block
                                        Block Feasibility                  Block Implementation
Level



                 • Clean Block Level SDC                                       • Final Block Implementation
                 • Final Block Level Floorplan                                 • Timing and Congestion Clean



                      Dirty                                  Clean                              Final
                                                         Design Data
                                                                                                                             7
                                                                                                               © Synopsys 2012
Design Exploration
Heading Into the Right Direction ?

Standard Placement (Fully Legalized)   Exploration Placement (Coarser)
          Several Hours                    27M Instances in 1 Hour




Source: Fujitsu, SNUG Japan 2010

                                                                              8
                                                                © Synopsys 2012
Block Feasibility
45 Nanometers, 4M Instances

                    Feasibility       Implementation          Feasibility          Implementation
                    Runtime           Runtime                 Performance          Performance
                                                                                                    Implementation
                   30                                                                              Results Improve w/
                                                                                                     Cleaner SDC

                                                                                                           200




                                                                                                                   Performance (MHz)
                   25
 Runtime (Hours)




                                                          Feasibility
                   20                                    QOR Same As
                                                        Implementation
                                                                                                           100

                   15



                   10                                                                                      0
                        No false paths or   17 false paths not 17 false paths + 3 All false paths and
              Feasibility                                                                         Feasibility Runtime
                      multi-cycle paths          identified    multicycle paths not multi-cycle paths
            2X Faster Than                                                                       Independent of SDC
            Implementationidentified                                 identified         identified    Dirtiness

Source: IDM, 2010

                                                                                                                         9
                                                                                                           © Synopsys 2012
Gigascale Design Implementation
Longer Term Evolution

• Until now :
   – Mostly “preserve” the gates
   – “Change” placement, and/or routing to close timing, power,
      • Very, very time consuming, and
      • Leads to a number of iterations
• In the future :
   – Once the objective is “within reach”
   – “Hold” placement and routing
   – Systematically “change” the gates
      • Same footprint, different timing, power, temperature inversion
        point, etc.
      • The richness of the library is fundamentally important

                                                                            10
                                                               © Synopsys 2012
ECO Flow
  32 Nanometers, ~ 3M Instances, ~ 300 Macro Blocks
  40 Scenarios, Runtime < 8 Hours, 99% Hold Fix Rate
                               1000000
TNS, No. of Violations, WNS




                                 100000



                                  10000



                                   1000



                                    100



                                      10



                                       1
                                             1st SignOff   ECO1   ECO2
                     Total Hold Slack (ps)     19558        102    34
                     No. Hold Violations        2382         49     6
                     WNS (ps)                    116         6     15
  Source: STMicroelectronics, PrimeTime SIG, 2012

                                                                                      11
                                                                         © Synopsys 2012
Leakage Recovery
32 Nanometers, ~ 3M Instances, ~ 300 Macro Blocks


               Leakage = 1X      Leakage = 0.77X, -23%




Source: Synopsys Research 2011

                                                                 12
                                                    © Synopsys 2012
More Performance Challenges
The Application Processors Race for “PP&A”

                   Maximum Clock Frequency @ Nominal Voltage, 125°C, 500mW
12X

10X

 8X

 6X

 4X

 2X

   X
                  90             65          45/40         32/28         22/20
Source: Synopsys Research 2011

                                                                                          13
                                                                             © Synopsys 2012
High Speed And Low Power Flow
Better Quality-of-Results, Faster Time-to-Results


    Targets               Typical flow on    Typical flow on high speed,
                          standard designs   low power designs


            100%
                                                                           Better quality-of-results
             90%

             75%



 High speed
 and low power
 (HSLP) flow
                                                                                            Typical flow
                                               Faster time-to-results
                                                                                            HSLP flow

                                                                                            Design-specific
                                                                  Time                      customization

Source: Synopsys Research 2011

                                                                                                                    14
                                                                                                       © Synopsys 2012
High Speed And Low Power Flow
ARM Cortex™-A9 MPCore™ Dual Core

                                                                                 Timing Closure Profile
                                                                       110%




                                           Performance (% of Target)
                                                                       100%



                                                                       90%



                                                                       80%
                                                                              Synthesis     Placement         CTS          Routing


                                                                                 Additional Customization For High Speed

                                                                                 High Speed and Low Power Flow

                                                                                 RM (Baseline) Flow

Source: Global Unichip, SNUG Taiwan 2011

                                                                                                                                          15
                                                                                                                             © Synopsys 2012
More Performance Challenges
Besides Digital,                         Analog and Mixed-Signal




                     Digital




         Analog & M/S
Source: R. Rutenbar, CMU, ICCAD 2006; H. Hiller, Infineon, DAC 2007

                                                                                   16
                                                                      © Synopsys 2012
Much More Than Just Interoperability
Shared Tasks Between Digital and Analog Environments




Source: Synopsys Research 2012

                                                              17
                                                 © Synopsys 2012
Analog Pre-Routing
Matched Resistance Routing




Source: Synopsys Research 2012

                                              18
                                 © Synopsys 2012
What Else Should We Look At?
Three “Revolutions” After Thirty Years of “Evolution”




     Device            Non-planar CMOS


 Lithography           Double patterning


  Integration          3D-IC




                                                                     19
                                                        © Synopsys 2012
The Challenge: Planar CMOS
Insufficient Performance, Excessive Power


       32 Nanometer Planar         Not Enough   “Headroom”




Source: K. Kuhn, Intel, IDF 2011

                                                                  20
                                                     © Synopsys 2012
The Solution: Non-Planar CMOS
The First “Revolution”


     22 Nanometer Tri-Gate         More “Headroom” !!!




Source: K. Kuhn, Intel, IDF 2011

                                                                21
                                                   © Synopsys 2012
The Solution
SPICE Simulation, and Parasitic Extraction


            Model/Compute                     Parasitics/Extract




      M1


       Contact                         Gate



        Source                   Fin           Fin       Drain

Source: Synopsys Research 2011

                                                                              22
                                                                 © Synopsys 2012
The Challenge: Single Exposure
“Last Pitch With Single Exposure ~ 80 Nanometers                                            ”


        We Can Print This,                                               But We Cannot Print This




Source: M. van den Brink, ASML, ITF 2009; P. Magarshack, STMicroelectronics, 2010

                                                                                                             23
                                                                                                © Synopsys 2012
The Solution: Double Patterning
The Second “Revolution”


   We Can Print This,   and This,   And Then This!




                                                           24
                                              © Synopsys 2012
The Solution
DPT Ready Logic Libraries and Placer


     DPT-Aware Placement         DPT Compliant Coloring




Source: Synopsys Research 2012

                                                                25
                                                   © Synopsys 2012
The Solution
DPT Ready Router and DRC




Source: Synopsys Research 2012

                                              26
                                 © Synopsys 2012
The Challenge: “> 2D-IC”
“More of Moore” Requires “More than Moore”


  1                                              2




                                                 C4       Silicon Interposer
Memory                       (Wide I/O) Memory   TSV   3D Stack      µBump
“Cube”                        “Cube” on Logic
                                                 4
  3



Source: Synopsys Research 2011

                                                                                  27
                                                                     © Synopsys 2012
The Solution: 3D-IC
The Third “Revolution”




Source: L. Madden, Xilinx, 2011

                                               28
                                  © Synopsys 2012
The Solution
Side-by-Side, Passive Silicon Interposer,
Single-Sided (F2F), to Begin with




Source: Synopsys Research 2011

                                                         29
                                            © Synopsys 2012
The Solution
Silicon Interposer with P&G Grid, and
µBumps, TSV, and C4




Source: Synopsys Research 2011

                                                     30
                                        © Synopsys 2012
Leveraging Existing Tools
Silicon Interposer (Detail)




Source: Synopsys Research 2011

                                              31
                                 © Synopsys 2012
Leveraging Existing Tools
Silicon Interposer (Detail) Routed




Source: Synopsys Research 2011

                                                  32
                                     © Synopsys 2012
‫תּוֹדָ ה‬
May 2nd, 2012
Tel Aviv, Israel


                                33
                   © Synopsys 2012

More Related Content

Viewers also liked

Nanotechnology Presentation For Electronic Industry
Nanotechnology Presentation For Electronic IndustryNanotechnology Presentation For Electronic Industry
Nanotechnology Presentation For Electronic Industrytabirsir
 
Electronics Applications in Daily Life
Electronics Applications in Daily LifeElectronics Applications in Daily Life
Electronics Applications in Daily LifeUdit Roy
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnologysruthi K
 
Electronics in daily life
Electronics in daily lifeElectronics in daily life
Electronics in daily lifeSARITHA REDDY
 
Nanotechnology
NanotechnologyNanotechnology
NanotechnologyNajiya Kpp
 
Scope of electronics and communication engineering.ppt
Scope of electronics and communication engineering.pptScope of electronics and communication engineering.ppt
Scope of electronics and communication engineering.pptRajesh Kumar
 
Electronics past,present and future
Electronics past,present and futureElectronics past,present and future
Electronics past,present and futureRajat Dhiman
 
Nanotech presentation
Nanotech presentationNanotech presentation
Nanotech presentationjayly03
 
Nanotechnology: Basic introduction to the nanotechnology.
Nanotechnology: Basic introduction to the nanotechnology.Nanotechnology: Basic introduction to the nanotechnology.
Nanotechnology: Basic introduction to the nanotechnology.Sathya Sujani
 
Nanotechnology
NanotechnologyNanotechnology
NanotechnologyKANNAN
 
NANOTECHNOLOGY AND IT'S APPLICATIONS
NANOTECHNOLOGY AND IT'S APPLICATIONSNANOTECHNOLOGY AND IT'S APPLICATIONS
NANOTECHNOLOGY AND IT'S APPLICATIONSCHINMOY PAUL
 

Viewers also liked (15)

Nanotechnology Presentation For Electronic Industry
Nanotechnology Presentation For Electronic IndustryNanotechnology Presentation For Electronic Industry
Nanotechnology Presentation For Electronic Industry
 
Electronics Applications in Daily Life
Electronics Applications in Daily LifeElectronics Applications in Daily Life
Electronics Applications in Daily Life
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
Electronics in daily life
Electronics in daily lifeElectronics in daily life
Electronics in daily life
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
Scope of electronics and communication engineering.ppt
Scope of electronics and communication engineering.pptScope of electronics and communication engineering.ppt
Scope of electronics and communication engineering.ppt
 
Electronics past,present and future
Electronics past,present and futureElectronics past,present and future
Electronics past,present and future
 
IEEE Presentation
IEEE PresentationIEEE Presentation
IEEE Presentation
 
Nanotech presentation
Nanotech presentationNanotech presentation
Nanotech presentation
 
Nano ppt
Nano pptNano ppt
Nano ppt
 
Nanotechnology: Basic introduction to the nanotechnology.
Nanotechnology: Basic introduction to the nanotechnology.Nanotechnology: Basic introduction to the nanotechnology.
Nanotechnology: Basic introduction to the nanotechnology.
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
NANOTECHNOLOGY AND IT'S APPLICATIONS
NANOTECHNOLOGY AND IT'S APPLICATIONSNANOTECHNOLOGY AND IT'S APPLICATIONS
NANOTECHNOLOGY AND IT'S APPLICATIONS
 
Nanotechnology ppt
Nanotechnology pptNanotechnology ppt
Nanotechnology ppt
 

Similar to Designing at 2x Nanometers: Key Focus Areas and Complexity Challenges

High-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDECHigh-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDECJack Erickson
 
S3 Group: Custom Mixed SIgnal SoCs
S3 Group: Custom Mixed SIgnal SoCsS3 Group: Custom Mixed SIgnal SoCs
S3 Group: Custom Mixed SIgnal SoCsS3 Group
 
Transform Your SAP Landscape Using EMC Technologies
Transform Your SAP Landscape Using EMC TechnologiesTransform Your SAP Landscape Using EMC Technologies
Transform Your SAP Landscape Using EMC TechnologiesCenk Ersoy
 
RoboCV - Demo Session Slides
RoboCV - Demo Session SlidesRoboCV - Demo Session Slides
RoboCV - Demo Session SlidesroboVITics club
 
Empirical Evidence Of Agile Methods
Empirical Evidence Of Agile MethodsEmpirical Evidence Of Agile Methods
Empirical Evidence Of Agile MethodsGrigori Melnik
 
STPCon fall 2012: The Testing Renaissance Has Arrived
STPCon fall 2012: The Testing Renaissance Has ArrivedSTPCon fall 2012: The Testing Renaissance Has Arrived
STPCon fall 2012: The Testing Renaissance Has ArrivedSOASTA
 
DFR a case study using a physics of failure
DFR a case study using a physics of failure DFR a case study using a physics of failure
DFR a case study using a physics of failure ASQ Reliability Division
 
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)Benoit Combemale
 
Design Verification: The Past, Present and Futurere
Design Verification: The Past, Present and FuturereDesign Verification: The Past, Present and Futurere
Design Verification: The Past, Present and FuturereDVClub
 
Design verification--the-past-present-and-future
Design verification--the-past-present-and-futureDesign verification--the-past-present-and-future
Design verification--the-past-present-and-futureObsidian Software
 
Tackling the Management Challenges of Server Consolidation on Multi-core Systems
Tackling the Management Challenges of Server Consolidation on Multi-core SystemsTackling the Management Challenges of Server Consolidation on Multi-core Systems
Tackling the Management Challenges of Server Consolidation on Multi-core SystemsThe Linux Foundation
 
The Synergy Between the Object Database, Graph Database, Cloud Computing and ...
The Synergy Between the Object Database, Graph Database, Cloud Computing and ...The Synergy Between the Object Database, Graph Database, Cloud Computing and ...
The Synergy Between the Object Database, Graph Database, Cloud Computing and ...InfiniteGraph
 
Introducing LCS to Digital Design Verification
Introducing LCS to Digital Design VerificationIntroducing LCS to Digital Design Verification
Introducing LCS to Digital Design VerificationDaniele Loiacono
 
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)David Rosenblum
 
Zen and the art of safety engineering
Zen and the art of safety engineeringZen and the art of safety engineering
Zen and the art of safety engineeringEric Verhulst
 
EclipseCon Eu 2015 - Breathe life into your Designer!
EclipseCon Eu 2015 - Breathe life into your Designer!EclipseCon Eu 2015 - Breathe life into your Designer!
EclipseCon Eu 2015 - Breathe life into your Designer!melbats
 
UndergraduatePortfolio
UndergraduatePortfolioUndergraduatePortfolio
UndergraduatePortfolioKevin Lomeli
 

Similar to Designing at 2x Nanometers: Key Focus Areas and Complexity Challenges (20)

High-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDECHigh-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDEC
 
Elveego circuits
Elveego circuitsElveego circuits
Elveego circuits
 
Intsoc2
Intsoc2Intsoc2
Intsoc2
 
S3 Group: Custom Mixed SIgnal SoCs
S3 Group: Custom Mixed SIgnal SoCsS3 Group: Custom Mixed SIgnal SoCs
S3 Group: Custom Mixed SIgnal SoCs
 
Transform Your SAP Landscape Using EMC Technologies
Transform Your SAP Landscape Using EMC TechnologiesTransform Your SAP Landscape Using EMC Technologies
Transform Your SAP Landscape Using EMC Technologies
 
RoboCV - Demo Session Slides
RoboCV - Demo Session SlidesRoboCV - Demo Session Slides
RoboCV - Demo Session Slides
 
Empirical Evidence Of Agile Methods
Empirical Evidence Of Agile MethodsEmpirical Evidence Of Agile Methods
Empirical Evidence Of Agile Methods
 
STPCon fall 2012: The Testing Renaissance Has Arrived
STPCon fall 2012: The Testing Renaissance Has ArrivedSTPCon fall 2012: The Testing Renaissance Has Arrived
STPCon fall 2012: The Testing Renaissance Has Arrived
 
DFR a case study using a physics of failure
DFR a case study using a physics of failure DFR a case study using a physics of failure
DFR a case study using a physics of failure
 
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
 
Workshop APM in a Cloud & Virtualized environment
Workshop APM in a Cloud & Virtualized environmentWorkshop APM in a Cloud & Virtualized environment
Workshop APM in a Cloud & Virtualized environment
 
Design Verification: The Past, Present and Futurere
Design Verification: The Past, Present and FuturereDesign Verification: The Past, Present and Futurere
Design Verification: The Past, Present and Futurere
 
Design verification--the-past-present-and-future
Design verification--the-past-present-and-futureDesign verification--the-past-present-and-future
Design verification--the-past-present-and-future
 
Tackling the Management Challenges of Server Consolidation on Multi-core Systems
Tackling the Management Challenges of Server Consolidation on Multi-core SystemsTackling the Management Challenges of Server Consolidation on Multi-core Systems
Tackling the Management Challenges of Server Consolidation on Multi-core Systems
 
The Synergy Between the Object Database, Graph Database, Cloud Computing and ...
The Synergy Between the Object Database, Graph Database, Cloud Computing and ...The Synergy Between the Object Database, Graph Database, Cloud Computing and ...
The Synergy Between the Object Database, Graph Database, Cloud Computing and ...
 
Introducing LCS to Digital Design Verification
Introducing LCS to Digital Design VerificationIntroducing LCS to Digital Design Verification
Introducing LCS to Digital Design Verification
 
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
 
Zen and the art of safety engineering
Zen and the art of safety engineeringZen and the art of safety engineering
Zen and the art of safety engineering
 
EclipseCon Eu 2015 - Breathe life into your Designer!
EclipseCon Eu 2015 - Breathe life into your Designer!EclipseCon Eu 2015 - Breathe life into your Designer!
EclipseCon Eu 2015 - Breathe life into your Designer!
 
UndergraduatePortfolio
UndergraduatePortfolioUndergraduatePortfolio
UndergraduatePortfolio
 

More from chiportal

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technionchiportal
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faradaychiportal
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia chiportal
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsyschiportal
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzchiportal
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intelchiportal
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed chiportal
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arterischiportal
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtoolchiportal
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQchiportal
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC chiportal
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Siliconchiportal
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsyschiportal
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retinachiportal
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Siliconchiportal
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductorchiportal
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technionchiportal
 

More from chiportal (20)

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technion
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faraday
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazz
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intel
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arteris
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtool
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQ
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Silicon
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retina
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Silicon
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technion
 

Recently uploaded

DSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningDSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningLars Bell
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxLoriGlavin3
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteDianaGray10
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfLoriGlavin3
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionDilum Bandara
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024Lonnie McRorey
 
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESSALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESmohitsingh558521
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersNicole Novielli
 
unit 4 immunoblotting technique complete.pptx
unit 4 immunoblotting technique complete.pptxunit 4 immunoblotting technique complete.pptx
unit 4 immunoblotting technique complete.pptxBkGupta21
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Time Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directionsTime Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directionsNathaniel Shimoni
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsPixlogix Infotech
 

Recently uploaded (20)

DSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningDSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine Tuning
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test Suite
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdf
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An Introduction
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024
 
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESSALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software Developers
 
unit 4 immunoblotting technique complete.pptx
unit 4 immunoblotting technique complete.pptxunit 4 immunoblotting technique complete.pptx
unit 4 immunoblotting technique complete.pptx
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Time Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directionsTime Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directions
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and Cons
 

Designing at 2x Nanometers: Key Focus Areas and Complexity Challenges

  • 1. Designing At 2x Nanometers Some New Problems Appear, and Some Old Ones Remain Marco Casale-Rossi Synopsys, Inc. 1 © Synopsys 2012
  • 2. Designing At 2x Nanometers What Are the Key Areas of Focus? (1) SION Dielectric/Polysilicon Gate; (2) High-k Dielectric/Metal Gate; (3) Planar CMOS Source: ITRS 2011, AMSL 2010, IBS 2008 (1) SION Dielectric/Polysilicon Gate; (2) High-k Dielectric/Metal Gate; (3) Planar CMOS; 2 © Synopsys 2012
  • 3. More Complexity Challenges Sheer Complexity Remains the #1 Challenge Intel CE4200 45 nanometers (2010) Intel CE3100, 90 nanometers (2008) 96mm2, ~ 300M transistors 208mm2, 150M transistors, 10W 7-9W 3 © Synopsys 2012
  • 4. Gigascale Design Implementation Evolving Into Two, Closely Connected “Sub-Systems” • The Initial “sub-system” – Fast synthesis, floorplanning, and placement – Used for design exploration, constraints clean-up, feasibility – Findings – e.g. floorplan – will be “shared” with back-end • The Full “sub-system” – Takes over once “initial” done – Full synthesis and place & route – Heavy use of special “correction” techniques 4 © Synopsys 2012
  • 5. RTL Exploration ~ 6X Faster Than RTL Synthesis Slack Distribution Comparison, Correlation ±8% Slack Histogram Floorplan DC-E DC-T DC-E w/ Floorplan Information -1.3 -0.95 -0.55 -0.15 0.2 0.55 0.95 1.3 1.7 2.1 Source: Synopsys Research 2011 5 © Synopsys 2012
  • 6. Look-Ahead & Physical Guidance Same Critical Paths, Correlation ±5% Synthesis Place & Route Source: Synopsys Research 2011 6 © Synopsys 2012
  • 7. Gigascale Design Implementation Constraints Analysis, Design Exploration & Block Feasibility • Initial Floorplan Partitions • Final Top Level Floorplan • Final Chip Implementation • Clean Top Level Routing • Block Level Floorplan • Blocks Modeled as ILM/ETM • Clean Top Level SDC • Timing Budgets Feasibility Stage Implementation Stage Chip Design Exploration Design Planning Top Assembly Level Block Block Feasibility Block Implementation Level • Clean Block Level SDC • Final Block Implementation • Final Block Level Floorplan • Timing and Congestion Clean Dirty Clean Final Design Data 7 © Synopsys 2012
  • 8. Design Exploration Heading Into the Right Direction ? Standard Placement (Fully Legalized) Exploration Placement (Coarser) Several Hours 27M Instances in 1 Hour Source: Fujitsu, SNUG Japan 2010 8 © Synopsys 2012
  • 9. Block Feasibility 45 Nanometers, 4M Instances Feasibility Implementation Feasibility Implementation Runtime Runtime Performance Performance Implementation 30 Results Improve w/ Cleaner SDC 200 Performance (MHz) 25 Runtime (Hours) Feasibility 20 QOR Same As Implementation 100 15 10 0 No false paths or 17 false paths not 17 false paths + 3 All false paths and Feasibility Feasibility Runtime multi-cycle paths identified multicycle paths not multi-cycle paths 2X Faster Than Independent of SDC Implementationidentified identified identified Dirtiness Source: IDM, 2010 9 © Synopsys 2012
  • 10. Gigascale Design Implementation Longer Term Evolution • Until now : – Mostly “preserve” the gates – “Change” placement, and/or routing to close timing, power, • Very, very time consuming, and • Leads to a number of iterations • In the future : – Once the objective is “within reach” – “Hold” placement and routing – Systematically “change” the gates • Same footprint, different timing, power, temperature inversion point, etc. • The richness of the library is fundamentally important 10 © Synopsys 2012
  • 11. ECO Flow 32 Nanometers, ~ 3M Instances, ~ 300 Macro Blocks 40 Scenarios, Runtime < 8 Hours, 99% Hold Fix Rate 1000000 TNS, No. of Violations, WNS 100000 10000 1000 100 10 1 1st SignOff ECO1 ECO2 Total Hold Slack (ps) 19558 102 34 No. Hold Violations 2382 49 6 WNS (ps) 116 6 15 Source: STMicroelectronics, PrimeTime SIG, 2012 11 © Synopsys 2012
  • 12. Leakage Recovery 32 Nanometers, ~ 3M Instances, ~ 300 Macro Blocks Leakage = 1X Leakage = 0.77X, -23% Source: Synopsys Research 2011 12 © Synopsys 2012
  • 13. More Performance Challenges The Application Processors Race for “PP&A” Maximum Clock Frequency @ Nominal Voltage, 125°C, 500mW 12X 10X 8X 6X 4X 2X X 90 65 45/40 32/28 22/20 Source: Synopsys Research 2011 13 © Synopsys 2012
  • 14. High Speed And Low Power Flow Better Quality-of-Results, Faster Time-to-Results Targets Typical flow on Typical flow on high speed, standard designs low power designs 100% Better quality-of-results 90% 75% High speed and low power (HSLP) flow Typical flow Faster time-to-results HSLP flow Design-specific Time customization Source: Synopsys Research 2011 14 © Synopsys 2012
  • 15. High Speed And Low Power Flow ARM Cortex™-A9 MPCore™ Dual Core Timing Closure Profile 110% Performance (% of Target) 100% 90% 80% Synthesis Placement CTS Routing Additional Customization For High Speed High Speed and Low Power Flow RM (Baseline) Flow Source: Global Unichip, SNUG Taiwan 2011 15 © Synopsys 2012
  • 16. More Performance Challenges Besides Digital, Analog and Mixed-Signal Digital Analog & M/S Source: R. Rutenbar, CMU, ICCAD 2006; H. Hiller, Infineon, DAC 2007 16 © Synopsys 2012
  • 17. Much More Than Just Interoperability Shared Tasks Between Digital and Analog Environments Source: Synopsys Research 2012 17 © Synopsys 2012
  • 18. Analog Pre-Routing Matched Resistance Routing Source: Synopsys Research 2012 18 © Synopsys 2012
  • 19. What Else Should We Look At? Three “Revolutions” After Thirty Years of “Evolution” Device Non-planar CMOS Lithography Double patterning Integration 3D-IC 19 © Synopsys 2012
  • 20. The Challenge: Planar CMOS Insufficient Performance, Excessive Power 32 Nanometer Planar Not Enough “Headroom” Source: K. Kuhn, Intel, IDF 2011 20 © Synopsys 2012
  • 21. The Solution: Non-Planar CMOS The First “Revolution” 22 Nanometer Tri-Gate More “Headroom” !!! Source: K. Kuhn, Intel, IDF 2011 21 © Synopsys 2012
  • 22. The Solution SPICE Simulation, and Parasitic Extraction Model/Compute Parasitics/Extract M1 Contact Gate Source Fin Fin Drain Source: Synopsys Research 2011 22 © Synopsys 2012
  • 23. The Challenge: Single Exposure “Last Pitch With Single Exposure ~ 80 Nanometers ” We Can Print This, But We Cannot Print This Source: M. van den Brink, ASML, ITF 2009; P. Magarshack, STMicroelectronics, 2010 23 © Synopsys 2012
  • 24. The Solution: Double Patterning The Second “Revolution” We Can Print This, and This, And Then This! 24 © Synopsys 2012
  • 25. The Solution DPT Ready Logic Libraries and Placer DPT-Aware Placement DPT Compliant Coloring Source: Synopsys Research 2012 25 © Synopsys 2012
  • 26. The Solution DPT Ready Router and DRC Source: Synopsys Research 2012 26 © Synopsys 2012
  • 27. The Challenge: “> 2D-IC” “More of Moore” Requires “More than Moore” 1 2 C4 Silicon Interposer Memory (Wide I/O) Memory TSV 3D Stack µBump “Cube” “Cube” on Logic 4 3 Source: Synopsys Research 2011 27 © Synopsys 2012
  • 28. The Solution: 3D-IC The Third “Revolution” Source: L. Madden, Xilinx, 2011 28 © Synopsys 2012
  • 29. The Solution Side-by-Side, Passive Silicon Interposer, Single-Sided (F2F), to Begin with Source: Synopsys Research 2011 29 © Synopsys 2012
  • 30. The Solution Silicon Interposer with P&G Grid, and µBumps, TSV, and C4 Source: Synopsys Research 2011 30 © Synopsys 2012
  • 31. Leveraging Existing Tools Silicon Interposer (Detail) Source: Synopsys Research 2011 31 © Synopsys 2012
  • 32. Leveraging Existing Tools Silicon Interposer (Detail) Routed Source: Synopsys Research 2011 32 © Synopsys 2012
  • 33. ‫תּוֹדָ ה‬ May 2nd, 2012 Tel Aviv, Israel 33 © Synopsys 2012