SlideShare ist ein Scribd-Unternehmen logo
1 von 58
Downloaden Sie, um offline zu lesen
Unit III :
Integrated circuit manufacturing
techniques
1
By: Hamed Y. Mohammed
E-Mail: hamalqoh@gmail.com
Integrated Circuit, tiny electronic circuit used to perform a specific electronic
function, such as amplification.• It is usually combined with other components to
form a more complex system.
2
Lithography
• Lithography is the process of transferring patterns drawn on a mask to a thin layer
of radiation sensitive material (resist) covering the surface of the material to be
etched (oxide or metal).
• The main equipment is optical ultraviolet unit (UV wavelength 200 to 400 nm).
• In this case the mask is called photomask (PM),the resist is called photoresist
(PR) and the process is called photolithography.
• Patterning process consists of mask design,mask fabrication and wafer printing.
3
OVERVIEW PHOTOLITHOGRAPHY
• Lithography consists of patterning substrate by employing the interaction of beams
of photons or particles with materials.
• Photolithography is widely used in the integrated circuits (ICs) manufacturing.
• The process of IC manufacturing consists of a series of 10-20 steps or more,called
mask layers where layers of materials coated with resists are patterned then
transferred onto the material layer.
• A photolithography system consists of a light source,a mask,and a optical
projection system.
• Photoresists are radiation sensitive materials that usually consist of a photo-
sensitive compound,a polymeric backbone,and a solvent.
• Resists can be classified upon their solubility after exposure into:positive resists
(solubility of exposed area increases) and negative resists (solubility of exposed
area decreases).
4
• Micromanufacturing of ICs
* Microfabrication,micromachining,or
micromanufacturing is comprised of the use of a
set of manufacturing tools,mostly based on
electronic integrated circuit (IC) and thick-film
(hybrid) manufacturing technologies,which are
often enhanced or modified for building devices
such as sensors,actuators,and other
microcomponents and microsystems.
In figure beside typical processes involved in
making a three-dimensional
microstructure are schematically represented
5
Chip
Fabrication
Cycle
6
Masks
• A photomask is a nearly optically flat glass (transparent to near UV) or quartz plate
(transparent to deep UV) with a metal absorber pattern printed on one side.The
metal pattern is typically a 0.1 wm thick chromium layer.The metal absorber pattern
on a photomask is opaque to ultraviolet radiation,whereas glassor quartz is
transparent.Such a photomask is placed in direct contact with or near a photoresist-
coated surface,and the wafer is exposed to ultraviolet radiation.
• What is Conventional Mask??
Conventional Mask pattern generator uses step-and -repeat and contact printing
photolithography method to transfer the circuit layout designs from CAD into the
photomask for circuit requiring minimum line width of < 1µm.
• What is Modern mask??
Modern mask pattern generation systems use precision lasers or electron beams to
image the design of one layer of an integrated circuit (IC),or chip,onto the mask
especially for circuit requiring minimum line width of <1µm.After the design has been
exposed on the mask,the pattern is etched into the chrome,and the mask is inspected.
7
Photomask
• The pattern to be etched on the wafer surface is drawn
on the pohtomask
• Photomasks are made from chromium
• Many masks are needed in recent CMOS technologies.
The # of masks depends on the process complexity
Clean Rooms
• Photolithography must be carried out in a clean room
otherwise dust particles causing fabrication errors.The
total number of dust particle are controlled with temp
and humidity.
• Clean rooms standards:
• Class 100 < 100 pp ft3 (0.5µ)
• Class 10 < 10 pp ft3 (0.5µ)
• Class 1 < 1 pp ft3 (0.5µ)
8
Mask alignment
• A major cause of product failure is poor alignment between the image being
projected and the pre-existing patterns on the wafer.
• The pattern registration capability is the degree to which the pattern being printed
'fits' relative to mask alignment marks and to the previously printed patterns.
• Alignment or registration marks such as optical Vernier patterns are created on the
different levels to be aligned.Generally,the collective misalignment should not
exceed a quarter of the minimum feature size.
• Alignment errors are only one part of the total device ground rule tolerances.
• Typical mask errors range from 0.1 to 0.3 pm,etch errors from 0.2 to 0.4 pm,and
alignment errors from 0.2 to 0.5 pm.Thus the tolerances of current lithography
equipment lie in the range of 0.5-0.8 pm .
9
Spinning resist
• the first step in photolithography,with Si as the substrate,is to grow a thin layer of
oxide on the wafer surface by heating it to between 900 and 11.50 oC in a humidified
oxygen stream.
• Dry oxygen also works but steam is faster.
• The oxide layer will then be patterned and serve as a mask for subsequent etching or
implantation of the base silicon wafer.
• As the first step in the lithography process itself,a thin layer of an organic polymer
sensitive to ultraviolet radiation,a photoresist,is deposited on the oxide surface.
• The photoresist is dispensed from a viscous solution of the polymer onto the wafer
lying on a wafer platen in a resist spinner.
• The wafer is then spun at high speeds between 1500 rpm and 8000 rpm depending
on the viscosity and the required film thickness,to make a uniform film.
10
• The resist film's uniformity across a single substrate and from substrate to
substrate must be within &15 nm (for a 1.5 pm film that is &I%) in order to ensure
reproducible linewidths and development times in subsequent steps.
• The coating thickness of these thin glassy films depends on the chemical resistance
required for image transfer and the fineness of the lines and spaces to be resolved.
• For silicon ICs the resist thickness can be between 0.5 and 2 pm after baking.For
microfabricated structures,thicknesses up to 1 mm are used in the deep-x-ray
lithography-based LIGA technique (see below).In the latter case,techniques such
as casting or in situ polymerization of the resist are employed.
Spinning resist (contd..)
11
Spinning resist (contd.)
photoresist is dispensed on a wafer laying on a
wafer platen.The wafer is spun at high rates to
make a uniform thin resist coating.With
uniformities ot ±10 Ao being specified for wafer-
to-wafer coatings,each coating parameter
(resist dispense rate,dispenseVolume,spin speed,
back temperature,ambient humidity.and
temperature.etc.) must be optimized.A real-
time,in situ thickness monitor can provide full
optimization possibilities of the photoresist
coating process,The system shown is a
multiwavelength reflection spectrometer.
Reflected light containing the interference
profile is analyzed and the resist thickness is
deduced,(From Metz et al ..Semicond.Int,15,
68-69,1992) 12
Exposure and development
• Exposure. Before the coated wafers are exposed,they undergo a mild bake (called a
soft bake) in order to remove the solvent of the resist and to suppress mechanical
stress.
• Once the wafers are soft baked,they are transferred to some type of illumination or
exposure system.In the simplest case,an exposure system is a UV lamp illuminating
the resist-coated wafer through a mask without any lenses in between.
• The purpose of the illumination system is to deliver light to the wafer with the
proper intensity,directionality,spectral characteristics,and uniformity across the
wafer so as to transfer (also print) the mask image as perfectly as possible onto the
resist in the form of a latent image.
• In photolithography,wavelengths of the light source,used for flood exposure of the
resist-coated wafer,range from deep ultraviolet (DUV) i.e.150-300 nm to near UV
i.e.350-500 nm.
13
• Development. Development transforms the latent image into an image in the resist
which will serve as a mask for further additive and subtractive steps,
• During the development process, selective dissolving of the resist takes
place.
• Two main technologies are available for development:wet development,widely used
in industry,and dry development,mostly in the exploratory stage.
• Wet development by solvents can be based on three different types of exposure-
induced change:(i) variation in molecular weight of the polymers (by crosslinking
or by chain scission),(ii) reactivity change,or (iii) polarity change of the polymer.
• There are two major types of wet-developing system,i.e.immersion and spray
developers.In immersion developing,cassette-loaded wafers are batch immersed for
a timed period in a bath of developer and agitated at a specific temperature.
• In spray development,fresh developing solution is directed across wafer surfaces by
fan-type sprayers.
Exposure and development (contd..)
14
• The use of solvents may lead to a
swelling of the resist and a lack of
adhesion of the resist to the substrate.
These problems may be solved by dry
developing which is either based on a
vapor phase process or a plasma process
(usually an oxygen plasma).
Exposure and development (contd..)
15
Resists
The principal components of photoresists are a polymer
(base resin), a sensitizer, and a casting solvent. The
polymer changes structure when exposed to radiation; the
solvent allows spin application and formation of thin
layers on the wafer surface; sensitizers control the
photochemical reactions in the polymeric phase. Resists
without sensitizers are single-component or one-
component systems, whereas sensitizer-based resists are
two-component systems. Solvent and other potential
additives do not directly relate to the photoactivity of the
resist. 16
ResistTone
• If the photoresist is of the type called positive (also positive tone), the
photochemical reaction during exposure of a resist typically weakens the
polymer by rupture or scission of the main and side polymer chains, and
the exposed resist becomes more soluble in developing solutions (say ten
times more soluble). In other words, the development rate, R, for the
exposed resist i about ten times faster than the development rate, Ro, for
the unexposed resist.If the photoresist is of the type called negative (also
negative tone), the reaction strengthens the polymer by random cross-
linkage of main chains or pendant side chains, becoming less soluble
(slower dissolving). Exposure, development , and pattern-transfer
sequence for negative and positive resists are shown in Figure below
17
18
Resolution in Photolithography
Introduction
• A trend of semiconductor industry is to manufacture integrated circuits (ICs) with
smaller devices and feature sizes on wafers of larger diameters.
• The progressively more demanding specifications and shrunken device size put a
tremendous pressure on process control,especially the control of photolithography.
• In photolithography,the pattern printed on a wafer is not an exact replica of the
mask pattern in practice.
• A lithographic system’s practical resolution,R,can be determined by line width
measurement made with devices such as a scanning electron microscope.
• Correct feature size must be maintained within a wafer and from wafer to wafer
because device performance depends on the absolute size of the patterned structures.
• The term critical dimension (CD) refers to a specific feature size and is a measure of
the practical resolution of a lithographic process(e.g.,0.18 µm in Intel's Coppermine
P1ll).
19
Resolution in Contact and Proximity Printing
(Shadow Printing)
In the shadow printing mode (contact and proximity),optical lithography has a
resolution with limits set by such factors as diffraction of light at the edge of opaque
features in the mask,alignment of wafer to mask,non-uniformities in wafer flatness,
and debris between mask and wafer.
Diffraction causes the image of a perfectly delineated edge to become blurred or
diffused.The theoretical resolution (also called R),i.e.minimum resolved feature
size (bmin)f a grating mask (with 2b as the grating period) imaged on a
conventional resist,is given by
where bmin = half the grating period and the minimum feature size transferable
s = gap between the mask and the photoresist surface
λ = wavelength of the exposing radiation
z = photoresist thickness
20
Shadow Printing (contd..)
Figure beside illustrates a typical intensity
distribution of light incident on a photoresist
surface after passing through a mask
containing a periodic grating consisting of
opaque and transparent spaces of equal
width,b.
21
Contact Printing
• In contact printing,a photomask is pressed against the resistcovered wafer with
pressures in the range of 0.05 to 0.3 atm and s,in Equation above,is zero.Equation
above in this case reduces to
• With λ ,say 400 nm,and a l-µm-thick resist,we conclude that a resolution higher
than I µm is possible.For thinner resist layers-that is,z very small and with shorter
wavelengths (e.g.,248 nm)-the resolution capability of contact printing increases.
• Equation clarifies the need to use shorter wavelength and thinner resist layers to
achieve higher resolution.
• The theoretical maximum resolution is seldom achieved.
• Typical contact printers are the Kasper and the Cobilt 800.
• The required contact between mask and wafer also causes mask damage and
contamination,rendering the method unsuitable for most modern microcircuit
fabrication.
22
Resist stripping:
The last process of the pattern transfer is photoresist striping.Two major photoresist
stripping technoques are wet and dry photoresist stripping.
Wet stripping
 After exposure,the wafers are rinsed in a developing solution or sprayed with a spray
developer to remove either the exposed areas (positive tone) or the unexposed areas of
the photoresist (negative tone),leaving a pattern of bare and photoresist-coated
oxide on the wafer surface.
 Typically,the next process step after development is to bake the resist at a higher
temperature than that used for the soft bake. 23
Wet stripping (contd..)
 This second bake,also called the hard bake,improves the hardness and interfacial
adhesion of the resist weakened by developer penetration or by swelling.
 Improved hardness increases the resistance of the photoresist to the subsequent
etching process.
 The wafers are then placed in a solution of HF,which attacks the oxide but not the
photoresist or the underlying silicon.The photoresist protects the oxide areas it
covers thereby transferring the mask pattern on the oxide layer.
 Once the exposed oxide has been etched away,the remaining photoresist can be
stripped off in a number of ways.
 A strong acid such as H2S04 or an acid-oxidant combination such as Cr2O3-H2SO4
can be used.
 Other commonly used liquid strippers are organic solvent strippers and alkaline
strippers with or without oxidants.
24
 There are a few variations of dry stripping in terms of the composition of the
plasma,but the basic mechanism is for the plasma to react with the organic
photoresist after which the gaseous products formed are pumped away.
 Oxygen in its molecular form is commonly used for this process.
 Plasma stripping (also called ashing) has become more and more popular as it
poses fewer disposal problems with toxic,flammable,or otherwise dangerous
chemicals and does not lose potency over time.
 Wet-stripping baths lose potency with use,causing stripping rates to change with
time due to accumulation of reaction products and contamination.
 Also liquid phase surface tension and mass transport tend to make photoresist
removal difficult and uneven in wet stripping baths.
Dry stripping
25
Dry stripping (contd..)
 Dry stripping is more controllable than liquid stripping,less corrosive
with respect to metal features on the wafer,and,most importantly,under the right
conditions,it leaves a cleaner surface.
 When the stripping of a resist layer on an oxide-covered wafer is completed,it
results in a pattern of oxide on the wafer surface that duplicates the photoresist
pattern and is either a positive or a negative copy of the pattern on the photomask.
 The oxide itself serves as a mask in subsequent processing steps.
26
Figure illustrates the steps
transfer a rectangle pattern
from mask to a silicon wafer
that has an insulating SiO2
layer on its surface.
27
SUBTRACTIVE TECHNIQUES
Overview
Lithography steps are followed by a
number of subtractive and additive
processes, transferring the
lithography patterns into ICs or 3D
micromachines . Table beside is a
partial list of subtractive steps used
in building microstructures. In
subtractive processes material is
removed from the device under
construction, usually very selectively,
through the use of a resist or other
mask pattern (e.g. an oxide or a
nitride).
28
29
Dry etching:
Dry-etching techniques, in general, are methods by which a solid state
surface is etched physically by ion bombardment or chemically by a
chemical reaction with a reactive species at the surface or combined
physical and chemical mechanisms. Under chemical methods, one
distinguishes between wet etching (solvent, vapor, electrochemical) and
dry etching in the gas phase. Depending on the mechanism, isotropic or
anisotropic (directional) etch profiles are obtained.
30
Dry Etching Advantages
• Eliminates handling of dangerous acids
and solvents
• Uses small amounts of chemicals
• Isotropic or anisotropic etch profiles
• Directional etching without using the
crystal orientation of Si
• High resolution and cleanliness
• Less undercutting
• No unintentional prolongation of etching
• Better process control
• Ease of automation
31
– Some gases are quite toxic and
corrosive
– Re-deposition of no volatile
compounds
– Need for specialized expensive
equipment
Dry Etching
Disadvantages
Physical etching: sputtering or ion etching
• Simple ion bombardment of a surface with inert ions such as argon ions is referred
to as ion etching or sputter etching.
• In the simplest case of ion sputtering,the substrates to be etched are laid on the
cathode (target) of a discharge reactor.
• When ions of sufficient energy impinge vertically on a surface,momentum transfer
(sputtering) causes bond breakage and ballistic material ejection,throwing the
bombarded material across the reactor to an opposing collecting surface.
• A low pressure and a long mean free path are required for material to leave the
vicinity of the sputtered surface without being backscattered and redeposited.
• It is the kinetic energy of the incoming particles that largely dictates which events
are most likely to take place i.e.physisorption,surface damage,substrate heating,
reflection,sputtering,or ion implantation
32
• At energies below 5 eV,incoming particles are either reflected or physisorbed.
• At energies between 5 and 10 eV surface migration and surface damage results.
• At energies greater than 10 eV substrate heating,surface damage,and material
ejection,i.e sputtering or ion etching,takes place.
• At yet higher energies greater than 10 keV ion implantation,i.e.doping,takes place
• In short,with physical etching,ion etching,or sputtering,ions such as argon ions are
accelerated in an electrical field towards the substrate where etching is purely
impact controlled.
• Both DC and rf plasma can be used depending on the system.
• Sputtering is inherently unselective because the ion energy required to eject material
is large compared to differences in surface bond energies and chemical reactivity.
• The method is also slow compared to other etching means with etch rates limited to
several hundreds of Angstroms per minute compared to thousands of Angstroms per
minute and higher with chemical and ion-assisted etching. 33
Physical etching: sputtering or ion etching (contd..)
Physical Etching: Etching Profiles
 The ideal result in dry or wet etching is the exact transfer of the mask pattern to
the substrate,with no distortion of the critical dimensions (CDs).
 Isotropic etching (dry or wet) always enlarges features and thus distorts the CDs.
 Chemical anisotropic etching is crystallographic and,as a consequence,critical
dimensions can be maintained only if features are strategically aligned along
certain lattice planes .
 With sputtering,the anisotropy is controllable by the plasma conditions.
Sputtering is far from ideal for high-aspect-ratio etching or for the creation of
vertical walls.Ion sputtering indeed exhibits a tendency to develop a facet on the
mask edge at the angle of maximum etch rate.
 This corner facetting is shown in figure below.
 Facetting at the mask corner occurs because the sputter yield for materials is
usually a strong function of the angle at which ions are directed at the surface.
34
35
Physical Etching: Etching Profiles (contd..)
The sputter etch rate of resist,for example,reaches a maximum at an incidence
angle of about 60o,more than twice the rate at normal incidence.
When resist is sputtered,the rapid removal at this critical 'facet' exposes more
substrate surface,resulting in a sloping step in the substrate material.
The facetting of the substrate itself will proceed along its own preferred sputtering
direction angle,and it is more pronounced with an applied bias due to the increased
electric field at corners.
This facetting can be minimized or eliminated by a more ideal resist profile,with a
flat top surface oriented at 0o and with perpendicular sidewalls at 90o.
 Another sputtering limitation is the redeposition of the involatile products on the
step edges.
By tilting and rotating the substrate during etching,etch profiles can be improved .
36
Dry chemical etching
 Chemical dry etching (also called vapor phase etching) does not use liquid
chemicals or etchants
This process involves a chemical reaction between etchant gases to attack the silicon
surface.
The chemical dry etching process is usually isotropic and exhibits high selectively.
Anisotropic dry etching has the ability to etch with finer resolution and higher
aspect ratio than isotropic etching.
Due to the directional nature of dry etching,undercutting can be avoided.
Figure below shows a rendition of the reaction that takes place in chemical dry
etching.
• Some of the ions that are used in chemical dry etching is tetrafluoromethane (CH4),
sulfur hexafluoride (SF6),nitrogen trifluoride (NF3),chlorine gas (Cl2),or fluorine
(F2).
37
Dry chemical etching (contd..)
38
Physical-chemical etching
The most useful plasma etching is neither entirely chemical nor physical.
By adding a physical component to a purely chemical etching mechanism,the
shortcomings of both sputter-based and purely chemical dry-etching processes can
be surmounted.
One example of chemical-physical etching is reactive ion beam etching (RIBE),a
rather exceptional case where ions are reactive and etch the surface directly.
At very low pressures in RIBE systems,the reactive ions can replace the Ar ions and
directly sustain a modest etch rate.
In a more general picture,ion bombardment of a substrate,in the presence of a
reactive etchant species,often leads to a synergism in which fast directional material
removal rates greatly exceed the sum of separate chemical attack and sputtering
rates.
39
Physical-chemical etching (contd..)
Once this type of ion-assisted etching was thought be caused by chemical reactions
between the ions and the surface material(as in RIBE).
However, for etch rates in most practical situations, i.e. from 1000 to 10000Ao min-1 ,this
is not even a theoretical possibility as the ion flux is much lower than the actual surface
removal rates .
The ability of an ion to stimulate surface reactions appears to depend much more on the
ion's energy and mass than on its chemical identity.
An important negative characteristic of all types of dry etching is the dependence of etch
rate and uniformity on wafer loading.
This is the result of the gas phase etchant being depleted by reaction at the surface of the
substrate material.
40
Wet etching
oWet etching is the selective removal of layers using chemical
solutions.
oThe nature of the resulting features is determined by such
parameters as temperature, composition, agitation, orientation of
the single crystal, size of etching feature, and level of accumulations
in the bath itself.
oWet etching can be done either isotropically or anisotropically
depending on the composition of the bath and the material to be
etched.
41
42
Wet etching (contd..)
Wet etching (contd..)
Wet etching (contd..)
Wet etching (contd..)
Wet etching (contd..)
46
Anisotropic and isotropic etching
 Anisotropic etching is possible with crystalline silicon due to its non-equivalent
orientations in its diamond cubic structure.
 The most common orientations used in the IC industry are the (100) or (1 1 I )
orientation;in micromachining (1 10) wafers are used quite often as well.
 Certain chemicals,typically alkaline types,will etch away crystalline silicon at
different rates depending on the orientation of the exposed crystal plane.
 A wide variety of etchants such as aqueous solutions of KOH,NaOH,LiOH,CsOH,
NH4OH,and quaternary ammonium hydroxides have been used for anisotropic
etching.
 Etching occurs without the application of an external voltage and is dopant
insensitive over several orders of magnitude.
 Aqueous solutions containing ethylenediamine,choline,or hydrazine with additives
such as pyrocathechol and pyrazine are used for anisotropic etching as well.
47
 It has been well established that addition of certain compounds enhances or
otherwise controls the characteristics of the etchant so additives are routinely used
both in industry and in research .
 The rate of anisotropic etching is diffusion controlled because the hydroxide ion must
diffuse through the layer of complexed silicon reaction products.
 During etching the silicon wafer is placed in a holder and the solution is vigorously
agitated in order to minimize the diffusion layer thickness.
 The etch rate for all planes increases with temperature and the surface roughness
decreases with increasing temperature.
48
Anisotropic and isotropic etching (contd..)
Etch stop techniques
In many cases it is desirable to stop etching in silicon when a certain cavity depth or a
certain membrane thickness is reached.
Moreover one wants thicknesses to be uniform and reproducible.Non-uniformity in
resulting devices due to non-uniformity of the silicon wafer thickness can be quite high.
Taper of double-polished wafers can be as high as 40 µm ! Even with the highest wafer
quality,the wafer taper is still around 2µm.
The taper together with the variation in etch depth due to processing conditions can lead
to intolerable thickness variations for many applications.
With good temperature,etchant concentration,and stirring control,the variation in etch
depth is typically 1 %.
Although for less demanding applications one can time the etching process to control the
thickness,it is much more convenient to work with etch stop techniques which not only
control the thickness but also smooth out the taper.
High-resolution silicon micromachining is dependent on the availability of effective etch
stop layers,It is actually the existence of impurity-based etch stops in silicon that has
allowed micromachining to become a high-yield production process. 49
Integrated circuit manufacturing techniques
Schematic representation of the etched profile using different shapes mask geometries in (a) {100} and (b) {110} silicon wafers.
High-resolution silicon micromachining is dependent on the availability of effective
etch stop layers,It is actually the existence of impurity-based etch stops in silicon
that has allowed micromachining to become a high-yield production process.
The most widely used etch stop technique is based on the fact that anisotropic
etchants,especially ethylene diamine pyrocathechol (EDP),do not attack heavily
boron- (p+) doped layers,.
This allows the fabrication of structures such as beams and diaphragms with a level
of control not possible before.
A simple boron diffusion or implantation can be introduced from the front of the
wafer,and,after patterning,the wafer can be etched from the backside to free the
structure.
Figure down illustrates this process for the fabrication of a micronozzle.
 One disadvantage with this etch stop technique is that the extremely high boron
concentrations are not compatible with standard CMOS or bipolar techniques,so
they can only be used for microstructures without integrated electronics.
52
Etch stop techniques (contd..)
53
Etch stop techniques (contd..)
Comparison of dry- and wet-etch techniques
The popularity of dry etching stems from a variety of advantages over wet-etching
methods:fewer disposal problems,better process control,less corrosion of metal features in
the structure,less undercutting and broadening of photoresist features i.e.better CD
control,and,under the right circumstances,a cleaner resulting surface.
Also,with the current trend towards submicrometer geometries,surface tension might
preclude a wet etchant from reaching down between submicrometer photoresist features
whereas dry etching excludes any problem of that nature.
However,many problems with and concerns about dry etching need solving,such as the low
etch rate,relatively low selectivity,and high sensitivity to operating parameters.
Environmental issues helped cause a switch from wet to dry etching for most IC
applications.
Another more decisive concern is the need for better CD control.
54
 In bulk micromachining,requiring more extreme topologies (more z axis),wet
etching of crystalline Si still dominates the state of the art.
 In the latter case anisotropic etching of Si results in atomically smooth planes and
atomically sharp edges,properties impossible to obtain with dry etching.
 Much more R&D is required to come up with new dry-etching schemes that would
come close to these performances.
 In surface micromachining,with processes more similar to the ones used in the IC
industry,both isotropic wet etchants and anisotropic dry etchants are used
55
Comparison of dry- and wet-etch techniques (contd..)
56
Comparison of dry- and wet-etch techniques (contd..)
57
Comparison of dry- and wet-etch techniques (contd..)
Integrated circuit manufacturing techniques

Weitere ähnliche Inhalte

Was ist angesagt?

Nanofabrication techniques
Nanofabrication techniquesNanofabrication techniques
Nanofabrication techniquesMohshina Afrooz
 
Lithography techniques,types
Lithography techniques,typesLithography techniques,types
Lithography techniques,typesANJANI S
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
photolithography_a
photolithography_aphotolithography_a
photolithography_aguestda8318
 
Lithography basics`
Lithography basics`Lithography basics`
Lithography basics`Kunal Roy
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfmashiur
 
Frank Schonig LIGA IEEE swtw2012
Frank Schonig LIGA IEEE swtw2012Frank Schonig LIGA IEEE swtw2012
Frank Schonig LIGA IEEE swtw2012fschonig
 
Nanoimprint lithography (NIL)
 Nanoimprint lithography (NIL) Nanoimprint lithography (NIL)
Nanoimprint lithography (NIL)Preeti Choudhary
 
Photolithography and its procedure
Photolithography and its procedurePhotolithography and its procedure
Photolithography and its procedurekaroline Enoch
 
Dna lithography copy
Dna lithography   copyDna lithography   copy
Dna lithography copyRose Navya
 
Lithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUETLithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUETA. S. M. Jannatul Islam
 
556 2nd presentation by teja
556 2nd presentation by teja556 2nd presentation by teja
556 2nd presentation by tejaTejasri Saladi
 
Laboratory experience list of techniques
Laboratory experience list of techniquesLaboratory experience list of techniques
Laboratory experience list of techniquesFredrik Bäcklund
 
beam modifying devises
beam modifying devisesbeam modifying devises
beam modifying devisessaikishore15
 

Was ist angesagt? (19)

Nano lithography techniques
Nano lithography techniquesNano lithography techniques
Nano lithography techniques
 
Nanofabrication techniques
Nanofabrication techniquesNanofabrication techniques
Nanofabrication techniques
 
Lithography techniques,types
Lithography techniques,typesLithography techniques,types
Lithography techniques,types
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
photolithography_a
photolithography_aphotolithography_a
photolithography_a
 
Lithography basics`
Lithography basics`Lithography basics`
Lithography basics`
 
Photolithography1
Photolithography1Photolithography1
Photolithography1
 
Nano-lithography
Nano-lithographyNano-lithography
Nano-lithography
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
 
Frank Schonig LIGA IEEE swtw2012
Frank Schonig LIGA IEEE swtw2012Frank Schonig LIGA IEEE swtw2012
Frank Schonig LIGA IEEE swtw2012
 
Nanoimprint lithography (NIL)
 Nanoimprint lithography (NIL) Nanoimprint lithography (NIL)
Nanoimprint lithography (NIL)
 
Photolithography and its procedure
Photolithography and its procedurePhotolithography and its procedure
Photolithography and its procedure
 
Nanolithography
NanolithographyNanolithography
Nanolithography
 
Dna lithography copy
Dna lithography   copyDna lithography   copy
Dna lithography copy
 
Lithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUETLithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUET
 
556 2nd presentation by teja
556 2nd presentation by teja556 2nd presentation by teja
556 2nd presentation by teja
 
Laboratory experience list of techniques
Laboratory experience list of techniquesLaboratory experience list of techniques
Laboratory experience list of techniques
 
Photolithography
PhotolithographyPhotolithography
Photolithography
 
beam modifying devises
beam modifying devisesbeam modifying devises
beam modifying devises
 

Ähnlich wie Integrated circuit manufacturing techniques

Masking and lithography techniques
Masking and lithography techniquesMasking and lithography techniques
Masking and lithography techniquesNivethaBalu5
 
unit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxunit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxAasthaShukla24
 
Mask fabrication process
Mask fabrication process Mask fabrication process
Mask fabrication process pardis paliz
 
photo lithography for MEMS and developing micro-structures
photo lithography for MEMS and developing micro-structures photo lithography for MEMS and developing micro-structures
photo lithography for MEMS and developing micro-structures Ahmed Elsayes
 
nakul agarwal micromachining presentation
nakul agarwal   micromachining presentationnakul agarwal   micromachining presentation
nakul agarwal micromachining presentationAkash Maurya
 
Image Transfer Techniques.pptx
Image Transfer Techniques.pptxImage Transfer Techniques.pptx
Image Transfer Techniques.pptxfikadumola
 
Microfabrication
MicrofabricationMicrofabrication
Microfabricationabhithapv
 
5.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,20135.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,2013Bhargav Veepuri
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfmashiur
 
LIGA Process
LIGA ProcessLIGA Process
LIGA Processaman1312
 
nano material and its applications
nano material and its applicationsnano material and its applications
nano material and its applicationsraosandy11
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
BME PE501 BIO MEMS K.BASHKARAN.pptx
BME PE501 BIO MEMS K.BASHKARAN.pptxBME PE501 BIO MEMS K.BASHKARAN.pptx
BME PE501 BIO MEMS K.BASHKARAN.pptxBashkaranK
 
5.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 20135.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 2013Bhargav Veepuri
 
Lithography and Nanolithography
Lithography and NanolithographyLithography and Nanolithography
Lithography and NanolithographySaheem Anwar
 

Ähnlich wie Integrated circuit manufacturing techniques (20)

Masking and lithography techniques
Masking and lithography techniquesMasking and lithography techniques
Masking and lithography techniques
 
2q
2q2q
2q
 
Nanolithography
NanolithographyNanolithography
Nanolithography
 
unit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxunit3 VLSITechnology.pptx
unit3 VLSITechnology.pptx
 
Mask fabrication process
Mask fabrication process Mask fabrication process
Mask fabrication process
 
photo lithography for MEMS and developing micro-structures
photo lithography for MEMS and developing micro-structures photo lithography for MEMS and developing micro-structures
photo lithography for MEMS and developing micro-structures
 
Micromachining
Micromachining Micromachining
Micromachining
 
nakul agarwal micromachining presentation
nakul agarwal   micromachining presentationnakul agarwal   micromachining presentation
nakul agarwal micromachining presentation
 
Image Transfer Techniques.pptx
Image Transfer Techniques.pptxImage Transfer Techniques.pptx
Image Transfer Techniques.pptx
 
Microfabrication
MicrofabricationMicrofabrication
Microfabrication
 
5.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,20135.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,2013
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
 
LIGA Process
LIGA ProcessLIGA Process
LIGA Process
 
nano material and its applications
nano material and its applicationsnano material and its applications
nano material and its applications
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
BME PE501 BIO MEMS K.BASHKARAN.pptx
BME PE501 BIO MEMS K.BASHKARAN.pptxBME PE501 BIO MEMS K.BASHKARAN.pptx
BME PE501 BIO MEMS K.BASHKARAN.pptx
 
Mems technology
Mems technologyMems technology
Mems technology
 
5.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 20135.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 2013
 
Mems for freshers
Mems for freshersMems for freshers
Mems for freshers
 
Lithography and Nanolithography
Lithography and NanolithographyLithography and Nanolithography
Lithography and Nanolithography
 

Kürzlich hochgeladen

Contracts with Interdependent Preferences (2)
Contracts with Interdependent Preferences (2)Contracts with Interdependent Preferences (2)
Contracts with Interdependent Preferences (2)GRAPE
 
Pests of tenai_Identification,Binomics_Dr.UPR
Pests of tenai_Identification,Binomics_Dr.UPRPests of tenai_Identification,Binomics_Dr.UPR
Pests of tenai_Identification,Binomics_Dr.UPRPirithiRaju
 
Isabelle Diacaire - From Ariadnas to Industry R&D in optics and photonics
Isabelle Diacaire - From Ariadnas to Industry R&D in optics and photonicsIsabelle Diacaire - From Ariadnas to Industry R&D in optics and photonics
Isabelle Diacaire - From Ariadnas to Industry R&D in optics and photonicsAdvanced-Concepts-Team
 
Role of herbs in hair care Amla and heena.pptx
Role of herbs in hair care  Amla and  heena.pptxRole of herbs in hair care  Amla and  heena.pptx
Role of herbs in hair care Amla and heena.pptxVaishnaviAware
 
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptxQ3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptxArdeniel
 
Cosmetic Excipients: Surfactants Used in cosmetic formulation
Cosmetic Excipients: Surfactants Used in cosmetic formulationCosmetic Excipients: Surfactants Used in cosmetic formulation
Cosmetic Excipients: Surfactants Used in cosmetic formulationDhanshriTikekar
 
SCIENCE 6 QUARTER 3 REVIEWER(FRICTION, GRAVITY, ENERGY AND SPEED).pptx
SCIENCE 6 QUARTER 3 REVIEWER(FRICTION, GRAVITY, ENERGY AND SPEED).pptxSCIENCE 6 QUARTER 3 REVIEWER(FRICTION, GRAVITY, ENERGY AND SPEED).pptx
SCIENCE 6 QUARTER 3 REVIEWER(FRICTION, GRAVITY, ENERGY AND SPEED).pptxROVELYNEDELUNA3
 
World Water Day 22 March 2024 - kiyorndlab
World Water Day 22 March 2024 - kiyorndlabWorld Water Day 22 March 2024 - kiyorndlab
World Water Day 22 March 2024 - kiyorndlabkiyorndlab
 
Human brain.. It's parts and function.
Human brain.. It's parts and function. Human brain.. It's parts and function.
Human brain.. It's parts and function. MUKTA MANJARI SAHOO
 
Application of Foraminiferal Ecology- Rahul.pptx
Application of Foraminiferal Ecology- Rahul.pptxApplication of Foraminiferal Ecology- Rahul.pptx
Application of Foraminiferal Ecology- Rahul.pptxRahulVishwakarma71547
 
TORSION IN GASTROPODS- Anatomical event (Zoology)
TORSION IN GASTROPODS- Anatomical event (Zoology)TORSION IN GASTROPODS- Anatomical event (Zoology)
TORSION IN GASTROPODS- Anatomical event (Zoology)chatterjeesoumili50
 
Physics Serway Jewett 6th edition for Scientists and Engineers
Physics Serway Jewett 6th edition for Scientists and EngineersPhysics Serway Jewett 6th edition for Scientists and Engineers
Physics Serway Jewett 6th edition for Scientists and EngineersAndreaLucarelli
 
001 Case Study - Submission Point_c1051231_attempt_2023-11-23-14-08-42_ABS CW...
001 Case Study - Submission Point_c1051231_attempt_2023-11-23-14-08-42_ABS CW...001 Case Study - Submission Point_c1051231_attempt_2023-11-23-14-08-42_ABS CW...
001 Case Study - Submission Point_c1051231_attempt_2023-11-23-14-08-42_ABS CW...marwaahmad357
 
Principles & Formulation of Hair Care Products
Principles & Formulation of Hair Care  ProductsPrinciples & Formulation of Hair Care  Products
Principles & Formulation of Hair Care Productspurwaborkar@gmail.com
 
Breast Cancer Pharmacology Presentation - Louis Pearce.pptx
Breast Cancer Pharmacology Presentation - Louis Pearce.pptxBreast Cancer Pharmacology Presentation - Louis Pearce.pptx
Breast Cancer Pharmacology Presentation - Louis Pearce.pptxLouisPearce2
 
Applied Biochemistry feedback_M Ahwad 2023.docx
Applied Biochemistry feedback_M Ahwad 2023.docxApplied Biochemistry feedback_M Ahwad 2023.docx
Applied Biochemistry feedback_M Ahwad 2023.docxmarwaahmad357
 
Main Exam Applied biochemistry final year
Main Exam Applied biochemistry final yearMain Exam Applied biochemistry final year
Main Exam Applied biochemistry final yearmarwaahmad357
 
Artificial intelligence in the field of economics.pdf
Artificial intelligence in the field of economics.pdfArtificial intelligence in the field of economics.pdf
Artificial intelligence in the field of economics.pdfgtsachtsiris
 

Kürzlich hochgeladen (20)

Contracts with Interdependent Preferences (2)
Contracts with Interdependent Preferences (2)Contracts with Interdependent Preferences (2)
Contracts with Interdependent Preferences (2)
 
Pests of tenai_Identification,Binomics_Dr.UPR
Pests of tenai_Identification,Binomics_Dr.UPRPests of tenai_Identification,Binomics_Dr.UPR
Pests of tenai_Identification,Binomics_Dr.UPR
 
Cheminformatics tools supporting dissemination of data associated with US EPA...
Cheminformatics tools supporting dissemination of data associated with US EPA...Cheminformatics tools supporting dissemination of data associated with US EPA...
Cheminformatics tools supporting dissemination of data associated with US EPA...
 
Isabelle Diacaire - From Ariadnas to Industry R&D in optics and photonics
Isabelle Diacaire - From Ariadnas to Industry R&D in optics and photonicsIsabelle Diacaire - From Ariadnas to Industry R&D in optics and photonics
Isabelle Diacaire - From Ariadnas to Industry R&D in optics and photonics
 
Role of herbs in hair care Amla and heena.pptx
Role of herbs in hair care  Amla and  heena.pptxRole of herbs in hair care  Amla and  heena.pptx
Role of herbs in hair care Amla and heena.pptx
 
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptxQ3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
 
Cosmetic Excipients: Surfactants Used in cosmetic formulation
Cosmetic Excipients: Surfactants Used in cosmetic formulationCosmetic Excipients: Surfactants Used in cosmetic formulation
Cosmetic Excipients: Surfactants Used in cosmetic formulation
 
SCIENCE 6 QUARTER 3 REVIEWER(FRICTION, GRAVITY, ENERGY AND SPEED).pptx
SCIENCE 6 QUARTER 3 REVIEWER(FRICTION, GRAVITY, ENERGY AND SPEED).pptxSCIENCE 6 QUARTER 3 REVIEWER(FRICTION, GRAVITY, ENERGY AND SPEED).pptx
SCIENCE 6 QUARTER 3 REVIEWER(FRICTION, GRAVITY, ENERGY AND SPEED).pptx
 
World Water Day 22 March 2024 - kiyorndlab
World Water Day 22 March 2024 - kiyorndlabWorld Water Day 22 March 2024 - kiyorndlab
World Water Day 22 March 2024 - kiyorndlab
 
Human brain.. It's parts and function.
Human brain.. It's parts and function. Human brain.. It's parts and function.
Human brain.. It's parts and function.
 
Application of Foraminiferal Ecology- Rahul.pptx
Application of Foraminiferal Ecology- Rahul.pptxApplication of Foraminiferal Ecology- Rahul.pptx
Application of Foraminiferal Ecology- Rahul.pptx
 
TORSION IN GASTROPODS- Anatomical event (Zoology)
TORSION IN GASTROPODS- Anatomical event (Zoology)TORSION IN GASTROPODS- Anatomical event (Zoology)
TORSION IN GASTROPODS- Anatomical event (Zoology)
 
Physics Serway Jewett 6th edition for Scientists and Engineers
Physics Serway Jewett 6th edition for Scientists and EngineersPhysics Serway Jewett 6th edition for Scientists and Engineers
Physics Serway Jewett 6th edition for Scientists and Engineers
 
001 Case Study - Submission Point_c1051231_attempt_2023-11-23-14-08-42_ABS CW...
001 Case Study - Submission Point_c1051231_attempt_2023-11-23-14-08-42_ABS CW...001 Case Study - Submission Point_c1051231_attempt_2023-11-23-14-08-42_ABS CW...
001 Case Study - Submission Point_c1051231_attempt_2023-11-23-14-08-42_ABS CW...
 
Principles & Formulation of Hair Care Products
Principles & Formulation of Hair Care  ProductsPrinciples & Formulation of Hair Care  Products
Principles & Formulation of Hair Care Products
 
Breast Cancer Pharmacology Presentation - Louis Pearce.pptx
Breast Cancer Pharmacology Presentation - Louis Pearce.pptxBreast Cancer Pharmacology Presentation - Louis Pearce.pptx
Breast Cancer Pharmacology Presentation - Louis Pearce.pptx
 
Cheminformatics tools and chemistry data underpinning mass spectrometry analy...
Cheminformatics tools and chemistry data underpinning mass spectrometry analy...Cheminformatics tools and chemistry data underpinning mass spectrometry analy...
Cheminformatics tools and chemistry data underpinning mass spectrometry analy...
 
Applied Biochemistry feedback_M Ahwad 2023.docx
Applied Biochemistry feedback_M Ahwad 2023.docxApplied Biochemistry feedback_M Ahwad 2023.docx
Applied Biochemistry feedback_M Ahwad 2023.docx
 
Main Exam Applied biochemistry final year
Main Exam Applied biochemistry final yearMain Exam Applied biochemistry final year
Main Exam Applied biochemistry final year
 
Artificial intelligence in the field of economics.pdf
Artificial intelligence in the field of economics.pdfArtificial intelligence in the field of economics.pdf
Artificial intelligence in the field of economics.pdf
 

Integrated circuit manufacturing techniques

  • 1. Unit III : Integrated circuit manufacturing techniques 1 By: Hamed Y. Mohammed E-Mail: hamalqoh@gmail.com
  • 2. Integrated Circuit, tiny electronic circuit used to perform a specific electronic function, such as amplification.• It is usually combined with other components to form a more complex system. 2
  • 3. Lithography • Lithography is the process of transferring patterns drawn on a mask to a thin layer of radiation sensitive material (resist) covering the surface of the material to be etched (oxide or metal). • The main equipment is optical ultraviolet unit (UV wavelength 200 to 400 nm). • In this case the mask is called photomask (PM),the resist is called photoresist (PR) and the process is called photolithography. • Patterning process consists of mask design,mask fabrication and wafer printing. 3
  • 4. OVERVIEW PHOTOLITHOGRAPHY • Lithography consists of patterning substrate by employing the interaction of beams of photons or particles with materials. • Photolithography is widely used in the integrated circuits (ICs) manufacturing. • The process of IC manufacturing consists of a series of 10-20 steps or more,called mask layers where layers of materials coated with resists are patterned then transferred onto the material layer. • A photolithography system consists of a light source,a mask,and a optical projection system. • Photoresists are radiation sensitive materials that usually consist of a photo- sensitive compound,a polymeric backbone,and a solvent. • Resists can be classified upon their solubility after exposure into:positive resists (solubility of exposed area increases) and negative resists (solubility of exposed area decreases). 4
  • 5. • Micromanufacturing of ICs * Microfabrication,micromachining,or micromanufacturing is comprised of the use of a set of manufacturing tools,mostly based on electronic integrated circuit (IC) and thick-film (hybrid) manufacturing technologies,which are often enhanced or modified for building devices such as sensors,actuators,and other microcomponents and microsystems. In figure beside typical processes involved in making a three-dimensional microstructure are schematically represented 5
  • 7. Masks • A photomask is a nearly optically flat glass (transparent to near UV) or quartz plate (transparent to deep UV) with a metal absorber pattern printed on one side.The metal pattern is typically a 0.1 wm thick chromium layer.The metal absorber pattern on a photomask is opaque to ultraviolet radiation,whereas glassor quartz is transparent.Such a photomask is placed in direct contact with or near a photoresist- coated surface,and the wafer is exposed to ultraviolet radiation. • What is Conventional Mask?? Conventional Mask pattern generator uses step-and -repeat and contact printing photolithography method to transfer the circuit layout designs from CAD into the photomask for circuit requiring minimum line width of < 1µm. • What is Modern mask?? Modern mask pattern generation systems use precision lasers or electron beams to image the design of one layer of an integrated circuit (IC),or chip,onto the mask especially for circuit requiring minimum line width of <1µm.After the design has been exposed on the mask,the pattern is etched into the chrome,and the mask is inspected. 7
  • 8. Photomask • The pattern to be etched on the wafer surface is drawn on the pohtomask • Photomasks are made from chromium • Many masks are needed in recent CMOS technologies. The # of masks depends on the process complexity Clean Rooms • Photolithography must be carried out in a clean room otherwise dust particles causing fabrication errors.The total number of dust particle are controlled with temp and humidity. • Clean rooms standards: • Class 100 < 100 pp ft3 (0.5µ) • Class 10 < 10 pp ft3 (0.5µ) • Class 1 < 1 pp ft3 (0.5µ) 8
  • 9. Mask alignment • A major cause of product failure is poor alignment between the image being projected and the pre-existing patterns on the wafer. • The pattern registration capability is the degree to which the pattern being printed 'fits' relative to mask alignment marks and to the previously printed patterns. • Alignment or registration marks such as optical Vernier patterns are created on the different levels to be aligned.Generally,the collective misalignment should not exceed a quarter of the minimum feature size. • Alignment errors are only one part of the total device ground rule tolerances. • Typical mask errors range from 0.1 to 0.3 pm,etch errors from 0.2 to 0.4 pm,and alignment errors from 0.2 to 0.5 pm.Thus the tolerances of current lithography equipment lie in the range of 0.5-0.8 pm . 9
  • 10. Spinning resist • the first step in photolithography,with Si as the substrate,is to grow a thin layer of oxide on the wafer surface by heating it to between 900 and 11.50 oC in a humidified oxygen stream. • Dry oxygen also works but steam is faster. • The oxide layer will then be patterned and serve as a mask for subsequent etching or implantation of the base silicon wafer. • As the first step in the lithography process itself,a thin layer of an organic polymer sensitive to ultraviolet radiation,a photoresist,is deposited on the oxide surface. • The photoresist is dispensed from a viscous solution of the polymer onto the wafer lying on a wafer platen in a resist spinner. • The wafer is then spun at high speeds between 1500 rpm and 8000 rpm depending on the viscosity and the required film thickness,to make a uniform film. 10
  • 11. • The resist film's uniformity across a single substrate and from substrate to substrate must be within &15 nm (for a 1.5 pm film that is &I%) in order to ensure reproducible linewidths and development times in subsequent steps. • The coating thickness of these thin glassy films depends on the chemical resistance required for image transfer and the fineness of the lines and spaces to be resolved. • For silicon ICs the resist thickness can be between 0.5 and 2 pm after baking.For microfabricated structures,thicknesses up to 1 mm are used in the deep-x-ray lithography-based LIGA technique (see below).In the latter case,techniques such as casting or in situ polymerization of the resist are employed. Spinning resist (contd..) 11
  • 12. Spinning resist (contd.) photoresist is dispensed on a wafer laying on a wafer platen.The wafer is spun at high rates to make a uniform thin resist coating.With uniformities ot ±10 Ao being specified for wafer- to-wafer coatings,each coating parameter (resist dispense rate,dispenseVolume,spin speed, back temperature,ambient humidity.and temperature.etc.) must be optimized.A real- time,in situ thickness monitor can provide full optimization possibilities of the photoresist coating process,The system shown is a multiwavelength reflection spectrometer. Reflected light containing the interference profile is analyzed and the resist thickness is deduced,(From Metz et al ..Semicond.Int,15, 68-69,1992) 12
  • 13. Exposure and development • Exposure. Before the coated wafers are exposed,they undergo a mild bake (called a soft bake) in order to remove the solvent of the resist and to suppress mechanical stress. • Once the wafers are soft baked,they are transferred to some type of illumination or exposure system.In the simplest case,an exposure system is a UV lamp illuminating the resist-coated wafer through a mask without any lenses in between. • The purpose of the illumination system is to deliver light to the wafer with the proper intensity,directionality,spectral characteristics,and uniformity across the wafer so as to transfer (also print) the mask image as perfectly as possible onto the resist in the form of a latent image. • In photolithography,wavelengths of the light source,used for flood exposure of the resist-coated wafer,range from deep ultraviolet (DUV) i.e.150-300 nm to near UV i.e.350-500 nm. 13
  • 14. • Development. Development transforms the latent image into an image in the resist which will serve as a mask for further additive and subtractive steps, • During the development process, selective dissolving of the resist takes place. • Two main technologies are available for development:wet development,widely used in industry,and dry development,mostly in the exploratory stage. • Wet development by solvents can be based on three different types of exposure- induced change:(i) variation in molecular weight of the polymers (by crosslinking or by chain scission),(ii) reactivity change,or (iii) polarity change of the polymer. • There are two major types of wet-developing system,i.e.immersion and spray developers.In immersion developing,cassette-loaded wafers are batch immersed for a timed period in a bath of developer and agitated at a specific temperature. • In spray development,fresh developing solution is directed across wafer surfaces by fan-type sprayers. Exposure and development (contd..) 14
  • 15. • The use of solvents may lead to a swelling of the resist and a lack of adhesion of the resist to the substrate. These problems may be solved by dry developing which is either based on a vapor phase process or a plasma process (usually an oxygen plasma). Exposure and development (contd..) 15
  • 16. Resists The principal components of photoresists are a polymer (base resin), a sensitizer, and a casting solvent. The polymer changes structure when exposed to radiation; the solvent allows spin application and formation of thin layers on the wafer surface; sensitizers control the photochemical reactions in the polymeric phase. Resists without sensitizers are single-component or one- component systems, whereas sensitizer-based resists are two-component systems. Solvent and other potential additives do not directly relate to the photoactivity of the resist. 16
  • 17. ResistTone • If the photoresist is of the type called positive (also positive tone), the photochemical reaction during exposure of a resist typically weakens the polymer by rupture or scission of the main and side polymer chains, and the exposed resist becomes more soluble in developing solutions (say ten times more soluble). In other words, the development rate, R, for the exposed resist i about ten times faster than the development rate, Ro, for the unexposed resist.If the photoresist is of the type called negative (also negative tone), the reaction strengthens the polymer by random cross- linkage of main chains or pendant side chains, becoming less soluble (slower dissolving). Exposure, development , and pattern-transfer sequence for negative and positive resists are shown in Figure below 17
  • 18. 18
  • 19. Resolution in Photolithography Introduction • A trend of semiconductor industry is to manufacture integrated circuits (ICs) with smaller devices and feature sizes on wafers of larger diameters. • The progressively more demanding specifications and shrunken device size put a tremendous pressure on process control,especially the control of photolithography. • In photolithography,the pattern printed on a wafer is not an exact replica of the mask pattern in practice. • A lithographic system’s practical resolution,R,can be determined by line width measurement made with devices such as a scanning electron microscope. • Correct feature size must be maintained within a wafer and from wafer to wafer because device performance depends on the absolute size of the patterned structures. • The term critical dimension (CD) refers to a specific feature size and is a measure of the practical resolution of a lithographic process(e.g.,0.18 µm in Intel's Coppermine P1ll). 19
  • 20. Resolution in Contact and Proximity Printing (Shadow Printing) In the shadow printing mode (contact and proximity),optical lithography has a resolution with limits set by such factors as diffraction of light at the edge of opaque features in the mask,alignment of wafer to mask,non-uniformities in wafer flatness, and debris between mask and wafer. Diffraction causes the image of a perfectly delineated edge to become blurred or diffused.The theoretical resolution (also called R),i.e.minimum resolved feature size (bmin)f a grating mask (with 2b as the grating period) imaged on a conventional resist,is given by where bmin = half the grating period and the minimum feature size transferable s = gap between the mask and the photoresist surface λ = wavelength of the exposing radiation z = photoresist thickness 20
  • 21. Shadow Printing (contd..) Figure beside illustrates a typical intensity distribution of light incident on a photoresist surface after passing through a mask containing a periodic grating consisting of opaque and transparent spaces of equal width,b. 21
  • 22. Contact Printing • In contact printing,a photomask is pressed against the resistcovered wafer with pressures in the range of 0.05 to 0.3 atm and s,in Equation above,is zero.Equation above in this case reduces to • With λ ,say 400 nm,and a l-µm-thick resist,we conclude that a resolution higher than I µm is possible.For thinner resist layers-that is,z very small and with shorter wavelengths (e.g.,248 nm)-the resolution capability of contact printing increases. • Equation clarifies the need to use shorter wavelength and thinner resist layers to achieve higher resolution. • The theoretical maximum resolution is seldom achieved. • Typical contact printers are the Kasper and the Cobilt 800. • The required contact between mask and wafer also causes mask damage and contamination,rendering the method unsuitable for most modern microcircuit fabrication. 22
  • 23. Resist stripping: The last process of the pattern transfer is photoresist striping.Two major photoresist stripping technoques are wet and dry photoresist stripping. Wet stripping  After exposure,the wafers are rinsed in a developing solution or sprayed with a spray developer to remove either the exposed areas (positive tone) or the unexposed areas of the photoresist (negative tone),leaving a pattern of bare and photoresist-coated oxide on the wafer surface.  Typically,the next process step after development is to bake the resist at a higher temperature than that used for the soft bake. 23
  • 24. Wet stripping (contd..)  This second bake,also called the hard bake,improves the hardness and interfacial adhesion of the resist weakened by developer penetration or by swelling.  Improved hardness increases the resistance of the photoresist to the subsequent etching process.  The wafers are then placed in a solution of HF,which attacks the oxide but not the photoresist or the underlying silicon.The photoresist protects the oxide areas it covers thereby transferring the mask pattern on the oxide layer.  Once the exposed oxide has been etched away,the remaining photoresist can be stripped off in a number of ways.  A strong acid such as H2S04 or an acid-oxidant combination such as Cr2O3-H2SO4 can be used.  Other commonly used liquid strippers are organic solvent strippers and alkaline strippers with or without oxidants. 24
  • 25.  There are a few variations of dry stripping in terms of the composition of the plasma,but the basic mechanism is for the plasma to react with the organic photoresist after which the gaseous products formed are pumped away.  Oxygen in its molecular form is commonly used for this process.  Plasma stripping (also called ashing) has become more and more popular as it poses fewer disposal problems with toxic,flammable,or otherwise dangerous chemicals and does not lose potency over time.  Wet-stripping baths lose potency with use,causing stripping rates to change with time due to accumulation of reaction products and contamination.  Also liquid phase surface tension and mass transport tend to make photoresist removal difficult and uneven in wet stripping baths. Dry stripping 25
  • 26. Dry stripping (contd..)  Dry stripping is more controllable than liquid stripping,less corrosive with respect to metal features on the wafer,and,most importantly,under the right conditions,it leaves a cleaner surface.  When the stripping of a resist layer on an oxide-covered wafer is completed,it results in a pattern of oxide on the wafer surface that duplicates the photoresist pattern and is either a positive or a negative copy of the pattern on the photomask.  The oxide itself serves as a mask in subsequent processing steps. 26
  • 27. Figure illustrates the steps transfer a rectangle pattern from mask to a silicon wafer that has an insulating SiO2 layer on its surface. 27
  • 28. SUBTRACTIVE TECHNIQUES Overview Lithography steps are followed by a number of subtractive and additive processes, transferring the lithography patterns into ICs or 3D micromachines . Table beside is a partial list of subtractive steps used in building microstructures. In subtractive processes material is removed from the device under construction, usually very selectively, through the use of a resist or other mask pattern (e.g. an oxide or a nitride). 28
  • 29. 29
  • 30. Dry etching: Dry-etching techniques, in general, are methods by which a solid state surface is etched physically by ion bombardment or chemically by a chemical reaction with a reactive species at the surface or combined physical and chemical mechanisms. Under chemical methods, one distinguishes between wet etching (solvent, vapor, electrochemical) and dry etching in the gas phase. Depending on the mechanism, isotropic or anisotropic (directional) etch profiles are obtained. 30
  • 31. Dry Etching Advantages • Eliminates handling of dangerous acids and solvents • Uses small amounts of chemicals • Isotropic or anisotropic etch profiles • Directional etching without using the crystal orientation of Si • High resolution and cleanliness • Less undercutting • No unintentional prolongation of etching • Better process control • Ease of automation 31 – Some gases are quite toxic and corrosive – Re-deposition of no volatile compounds – Need for specialized expensive equipment Dry Etching Disadvantages
  • 32. Physical etching: sputtering or ion etching • Simple ion bombardment of a surface with inert ions such as argon ions is referred to as ion etching or sputter etching. • In the simplest case of ion sputtering,the substrates to be etched are laid on the cathode (target) of a discharge reactor. • When ions of sufficient energy impinge vertically on a surface,momentum transfer (sputtering) causes bond breakage and ballistic material ejection,throwing the bombarded material across the reactor to an opposing collecting surface. • A low pressure and a long mean free path are required for material to leave the vicinity of the sputtered surface without being backscattered and redeposited. • It is the kinetic energy of the incoming particles that largely dictates which events are most likely to take place i.e.physisorption,surface damage,substrate heating, reflection,sputtering,or ion implantation 32
  • 33. • At energies below 5 eV,incoming particles are either reflected or physisorbed. • At energies between 5 and 10 eV surface migration and surface damage results. • At energies greater than 10 eV substrate heating,surface damage,and material ejection,i.e sputtering or ion etching,takes place. • At yet higher energies greater than 10 keV ion implantation,i.e.doping,takes place • In short,with physical etching,ion etching,or sputtering,ions such as argon ions are accelerated in an electrical field towards the substrate where etching is purely impact controlled. • Both DC and rf plasma can be used depending on the system. • Sputtering is inherently unselective because the ion energy required to eject material is large compared to differences in surface bond energies and chemical reactivity. • The method is also slow compared to other etching means with etch rates limited to several hundreds of Angstroms per minute compared to thousands of Angstroms per minute and higher with chemical and ion-assisted etching. 33 Physical etching: sputtering or ion etching (contd..)
  • 34. Physical Etching: Etching Profiles  The ideal result in dry or wet etching is the exact transfer of the mask pattern to the substrate,with no distortion of the critical dimensions (CDs).  Isotropic etching (dry or wet) always enlarges features and thus distorts the CDs.  Chemical anisotropic etching is crystallographic and,as a consequence,critical dimensions can be maintained only if features are strategically aligned along certain lattice planes .  With sputtering,the anisotropy is controllable by the plasma conditions. Sputtering is far from ideal for high-aspect-ratio etching or for the creation of vertical walls.Ion sputtering indeed exhibits a tendency to develop a facet on the mask edge at the angle of maximum etch rate.  This corner facetting is shown in figure below.  Facetting at the mask corner occurs because the sputter yield for materials is usually a strong function of the angle at which ions are directed at the surface. 34
  • 35. 35
  • 36. Physical Etching: Etching Profiles (contd..) The sputter etch rate of resist,for example,reaches a maximum at an incidence angle of about 60o,more than twice the rate at normal incidence. When resist is sputtered,the rapid removal at this critical 'facet' exposes more substrate surface,resulting in a sloping step in the substrate material. The facetting of the substrate itself will proceed along its own preferred sputtering direction angle,and it is more pronounced with an applied bias due to the increased electric field at corners. This facetting can be minimized or eliminated by a more ideal resist profile,with a flat top surface oriented at 0o and with perpendicular sidewalls at 90o.  Another sputtering limitation is the redeposition of the involatile products on the step edges. By tilting and rotating the substrate during etching,etch profiles can be improved . 36
  • 37. Dry chemical etching  Chemical dry etching (also called vapor phase etching) does not use liquid chemicals or etchants This process involves a chemical reaction between etchant gases to attack the silicon surface. The chemical dry etching process is usually isotropic and exhibits high selectively. Anisotropic dry etching has the ability to etch with finer resolution and higher aspect ratio than isotropic etching. Due to the directional nature of dry etching,undercutting can be avoided. Figure below shows a rendition of the reaction that takes place in chemical dry etching. • Some of the ions that are used in chemical dry etching is tetrafluoromethane (CH4), sulfur hexafluoride (SF6),nitrogen trifluoride (NF3),chlorine gas (Cl2),or fluorine (F2). 37
  • 38. Dry chemical etching (contd..) 38
  • 39. Physical-chemical etching The most useful plasma etching is neither entirely chemical nor physical. By adding a physical component to a purely chemical etching mechanism,the shortcomings of both sputter-based and purely chemical dry-etching processes can be surmounted. One example of chemical-physical etching is reactive ion beam etching (RIBE),a rather exceptional case where ions are reactive and etch the surface directly. At very low pressures in RIBE systems,the reactive ions can replace the Ar ions and directly sustain a modest etch rate. In a more general picture,ion bombardment of a substrate,in the presence of a reactive etchant species,often leads to a synergism in which fast directional material removal rates greatly exceed the sum of separate chemical attack and sputtering rates. 39
  • 40. Physical-chemical etching (contd..) Once this type of ion-assisted etching was thought be caused by chemical reactions between the ions and the surface material(as in RIBE). However, for etch rates in most practical situations, i.e. from 1000 to 10000Ao min-1 ,this is not even a theoretical possibility as the ion flux is much lower than the actual surface removal rates . The ability of an ion to stimulate surface reactions appears to depend much more on the ion's energy and mass than on its chemical identity. An important negative characteristic of all types of dry etching is the dependence of etch rate and uniformity on wafer loading. This is the result of the gas phase etchant being depleted by reaction at the surface of the substrate material. 40
  • 41. Wet etching oWet etching is the selective removal of layers using chemical solutions. oThe nature of the resulting features is determined by such parameters as temperature, composition, agitation, orientation of the single crystal, size of etching feature, and level of accumulations in the bath itself. oWet etching can be done either isotropically or anisotropically depending on the composition of the bath and the material to be etched. 41
  • 47. Anisotropic and isotropic etching  Anisotropic etching is possible with crystalline silicon due to its non-equivalent orientations in its diamond cubic structure.  The most common orientations used in the IC industry are the (100) or (1 1 I ) orientation;in micromachining (1 10) wafers are used quite often as well.  Certain chemicals,typically alkaline types,will etch away crystalline silicon at different rates depending on the orientation of the exposed crystal plane.  A wide variety of etchants such as aqueous solutions of KOH,NaOH,LiOH,CsOH, NH4OH,and quaternary ammonium hydroxides have been used for anisotropic etching.  Etching occurs without the application of an external voltage and is dopant insensitive over several orders of magnitude.  Aqueous solutions containing ethylenediamine,choline,or hydrazine with additives such as pyrocathechol and pyrazine are used for anisotropic etching as well. 47
  • 48.  It has been well established that addition of certain compounds enhances or otherwise controls the characteristics of the etchant so additives are routinely used both in industry and in research .  The rate of anisotropic etching is diffusion controlled because the hydroxide ion must diffuse through the layer of complexed silicon reaction products.  During etching the silicon wafer is placed in a holder and the solution is vigorously agitated in order to minimize the diffusion layer thickness.  The etch rate for all planes increases with temperature and the surface roughness decreases with increasing temperature. 48 Anisotropic and isotropic etching (contd..)
  • 49. Etch stop techniques In many cases it is desirable to stop etching in silicon when a certain cavity depth or a certain membrane thickness is reached. Moreover one wants thicknesses to be uniform and reproducible.Non-uniformity in resulting devices due to non-uniformity of the silicon wafer thickness can be quite high. Taper of double-polished wafers can be as high as 40 µm ! Even with the highest wafer quality,the wafer taper is still around 2µm. The taper together with the variation in etch depth due to processing conditions can lead to intolerable thickness variations for many applications. With good temperature,etchant concentration,and stirring control,the variation in etch depth is typically 1 %. Although for less demanding applications one can time the etching process to control the thickness,it is much more convenient to work with etch stop techniques which not only control the thickness but also smooth out the taper. High-resolution silicon micromachining is dependent on the availability of effective etch stop layers,It is actually the existence of impurity-based etch stops in silicon that has allowed micromachining to become a high-yield production process. 49
  • 51. Schematic representation of the etched profile using different shapes mask geometries in (a) {100} and (b) {110} silicon wafers.
  • 52. High-resolution silicon micromachining is dependent on the availability of effective etch stop layers,It is actually the existence of impurity-based etch stops in silicon that has allowed micromachining to become a high-yield production process. The most widely used etch stop technique is based on the fact that anisotropic etchants,especially ethylene diamine pyrocathechol (EDP),do not attack heavily boron- (p+) doped layers,. This allows the fabrication of structures such as beams and diaphragms with a level of control not possible before. A simple boron diffusion or implantation can be introduced from the front of the wafer,and,after patterning,the wafer can be etched from the backside to free the structure. Figure down illustrates this process for the fabrication of a micronozzle.  One disadvantage with this etch stop technique is that the extremely high boron concentrations are not compatible with standard CMOS or bipolar techniques,so they can only be used for microstructures without integrated electronics. 52 Etch stop techniques (contd..)
  • 54. Comparison of dry- and wet-etch techniques The popularity of dry etching stems from a variety of advantages over wet-etching methods:fewer disposal problems,better process control,less corrosion of metal features in the structure,less undercutting and broadening of photoresist features i.e.better CD control,and,under the right circumstances,a cleaner resulting surface. Also,with the current trend towards submicrometer geometries,surface tension might preclude a wet etchant from reaching down between submicrometer photoresist features whereas dry etching excludes any problem of that nature. However,many problems with and concerns about dry etching need solving,such as the low etch rate,relatively low selectivity,and high sensitivity to operating parameters. Environmental issues helped cause a switch from wet to dry etching for most IC applications. Another more decisive concern is the need for better CD control. 54
  • 55.  In bulk micromachining,requiring more extreme topologies (more z axis),wet etching of crystalline Si still dominates the state of the art.  In the latter case anisotropic etching of Si results in atomically smooth planes and atomically sharp edges,properties impossible to obtain with dry etching.  Much more R&D is required to come up with new dry-etching schemes that would come close to these performances.  In surface micromachining,with processes more similar to the ones used in the IC industry,both isotropic wet etchants and anisotropic dry etchants are used 55 Comparison of dry- and wet-etch techniques (contd..)
  • 56. 56 Comparison of dry- and wet-etch techniques (contd..)
  • 57. 57 Comparison of dry- and wet-etch techniques (contd..)